>>> py3-litex-hub-modules: Building testing/py3-litex-hub-modules 2023.12-r3 (using abuild 3.12.0-r4) started Wed, 06 Mar 2024 23:01:53 +0000 >>> py3-litex-hub-modules: Checking sanity of /home/buildozer/aports/testing/py3-litex-hub-modules/APKBUILD... >>> py3-litex-hub-modules: Analyzing dependencies... >>> py3-litex-hub-modules: Installing for build: build-base python3 py3-setuptools py3-gpep517 py3-wheel py3-installer (1/25) Installing libbz2 (1.0.8-r6) (2/25) Installing libffi (3.4.6-r0) (3/25) Installing gdbm (1.23-r1) (4/25) Installing xz-libs (5.4.6-r0) (5/25) Installing mpdecimal (4.0.0-r0) (6/25) Installing libpanelw (6.4_p20231125-r0) (7/25) Installing readline (8.2.10-r0) (8/25) Installing sqlite-libs (3.45.1-r0) (9/25) Installing python3 (3.11.8-r0) (10/25) Installing python3-pycache-pyc0 (3.11.8-r0) (11/25) Installing pyc (3.11.8-r0) (12/25) Installing python3-pyc (3.11.8-r0) (13/25) Installing py3-parsing (3.1.1-r0) (14/25) Installing py3-parsing-pyc (3.1.1-r0) (15/25) Installing py3-packaging (23.2-r0) (16/25) Installing py3-packaging-pyc (23.2-r0) (17/25) Installing py3-setuptools (69.1.1-r0) (18/25) Installing py3-setuptools-pyc (69.1.1-r0) (19/25) Installing py3-installer (0.7.0-r1) (20/25) Installing py3-installer-pyc (0.7.0-r1) (21/25) Installing py3-gpep517 (15-r1) (22/25) Installing py3-gpep517-pyc (15-r1) (23/25) Installing py3-wheel (0.42.0-r0) (24/25) Installing py3-wheel-pyc (0.42.0-r0) (25/25) Installing .makedepends-py3-litex-hub-modules (20240306.230200) Executing busybox-1.36.1-r20.trigger OK: 278 MiB in 126 packages >>> py3-litex-hub-modules: Cleaning up srcdir >>> py3-litex-hub-modules: Cleaning up pkgdir >>> py3-litex-hub-modules: Cleaning up tmpdir >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/picolibc-f165dc22f1f67e3e8bdc8edf750ff7dc596de2ff.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/fpnew-855bb82b6e85772fc290fa8b9c14fdd8f1b16be7.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/riscv-dbg-6d38d957b036231db668666255e938c91b7ce424.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/trace_debugger-0aafa398e208ad79826407e3805642987287cfae.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/common_cells-790f2385c01c83022474eede55809666209216e3.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/fpu_div_sqrt_mvp-83a601f97934ed5e06d737b9c80d98b08867c5fa.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-blackparrot-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cv32e40p-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cv32e41p-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cva5-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cva6-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-ibex-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-lm32-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-marocchino-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-microwatt-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-minerva-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-mor1kx-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-naxriscv-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-picorv32-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-rocket-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-serv-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-vexriscv-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-vexriscv_smp-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-misc-tapcfg-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-software-compiler_rt-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-software-picolibc-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/valentyusb-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/picolibc-f165dc22f1f67e3e8bdc8edf750ff7dc596de2ff.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/fpnew-855bb82b6e85772fc290fa8b9c14fdd8f1b16be7.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/riscv-dbg-6d38d957b036231db668666255e938c91b7ce424.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/trace_debugger-0aafa398e208ad79826407e3805642987287cfae.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/common_cells-790f2385c01c83022474eede55809666209216e3.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/fpu_div_sqrt_mvp-83a601f97934ed5e06d737b9c80d98b08867c5fa.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-blackparrot-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cv32e40p-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cv32e41p-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cva5-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cva6-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-ibex-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-lm32-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-marocchino-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-microwatt-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-minerva-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-mor1kx-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-naxriscv-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-picorv32-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-rocket-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-serv-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-vexriscv-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-vexriscv_smp-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-misc-tapcfg-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-software-compiler_rt-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-software-picolibc-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/valentyusb-2023.12.tar.gz >>> py3-litex-hub-modules: Checking sha512sums... picolibc-f165dc22f1f67e3e8bdc8edf750ff7dc596de2ff.tar.gz: OK fpnew-855bb82b6e85772fc290fa8b9c14fdd8f1b16be7.tar.gz: OK riscv-dbg-6d38d957b036231db668666255e938c91b7ce424.tar.gz: OK trace_debugger-0aafa398e208ad79826407e3805642987287cfae.tar.gz: OK common_cells-790f2385c01c83022474eede55809666209216e3.tar.gz: OK fpu_div_sqrt_mvp-83a601f97934ed5e06d737b9c80d98b08867c5fa.tar.gz: OK 0001-fix-pythondata_software_compiler_rt-python3.patch: OK 0002-fix-pythondata-cpu-blackparrot-python3.patch: OK pythondata-cpu-blackparrot-2023.12.tar.gz: OK pythondata-cpu-cv32e40p-2023.12.tar.gz: OK pythondata-cpu-cv32e41p-2023.12.tar.gz: OK pythondata-cpu-cva5-2023.12.tar.gz: OK pythondata-cpu-cva6-2023.12.tar.gz: OK pythondata-cpu-ibex-2023.12.tar.gz: OK pythondata-cpu-lm32-2020.04.tar.gz: OK pythondata-cpu-marocchino-2023.12.tar.gz: OK pythondata-cpu-microwatt-2023.12.tar.gz: OK pythondata-cpu-minerva-2023.12.tar.gz: OK pythondata-cpu-mor1kx-2020.04.tar.gz: OK pythondata-cpu-naxriscv-2023.12.tar.gz: OK pythondata-cpu-picorv32-2020.04.tar.gz: OK pythondata-cpu-rocket-2020.04.tar.gz: OK pythondata-cpu-serv-2020.04.tar.gz: OK pythondata-cpu-vexriscv-2020.04.tar.gz: OK pythondata-cpu-vexriscv_smp-2023.12.tar.gz: OK pythondata-misc-tapcfg-2020.04.tar.gz: OK pythondata-software-compiler_rt-2020.04.tar.gz: OK pythondata-software-picolibc-2023.12.tar.gz: OK valentyusb-2023.12.tar.gz: OK >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/picolibc-f165dc22f1f67e3e8bdc8edf750ff7dc596de2ff.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/fpnew-855bb82b6e85772fc290fa8b9c14fdd8f1b16be7.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/riscv-dbg-6d38d957b036231db668666255e938c91b7ce424.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/trace_debugger-0aafa398e208ad79826407e3805642987287cfae.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/common_cells-790f2385c01c83022474eede55809666209216e3.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/fpu_div_sqrt_mvp-83a601f97934ed5e06d737b9c80d98b08867c5fa.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-blackparrot-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-cv32e40p-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-cv32e41p-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-cva5-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-cva6-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-ibex-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-lm32-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-marocchino-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-microwatt-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-minerva-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-mor1kx-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-naxriscv-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-picorv32-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-rocket-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-serv-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-vexriscv-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-cpu-vexriscv_smp-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-misc-tapcfg-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-software-compiler_rt-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/pythondata-software-picolibc-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/valentyusb-2023.12.tar.gz... >>> py3-litex-hub-modules: 0001-fix-pythondata_software_compiler_rt-python3.patch patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/asan/scripts/asan_symbolize.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/cpplint.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/gen_dynamic_list.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/sancov.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/test/asan/android_commands/android_common.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/test/asan/android_commands/android_compile.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/test/asan/android_commands/android_run.py >>> py3-litex-hub-modules: 0002-fix-pythondata-cpu-blackparrot-python3.patch patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py --> Building LiteX Hub module pythondata-cpu-blackparrot 2024-03-06 23:02:14,965 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:02:15,256 root INFO running bdist_wheel 2024-03-06 23:02:15,338 root INFO running build 2024-03-06 23:02:15,338 root INFO running build_py 2024-03-06 23:02:15,350 root INFO creating build 2024-03-06 23:02:15,351 root INFO creating build/lib 2024-03-06 23:02:15,351 root INFO creating build/lib/pythondata_cpu_blackparrot 2024-03-06 23:02:15,352 root INFO copying pythondata_cpu_blackparrot/__init__.py -> build/lib/pythondata_cpu_blackparrot 2024-03-06 23:02:15,364 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog 2024-03-06 23:02:15,364 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:15,365 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top 2024-03-06 23:02:15,365 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software 2024-03-06 23:02:15,366 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py 2024-03-06 23:02:15,366 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py 2024-03-06 23:02:15,371 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common 2024-03-06 23:02:15,371 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software 2024-03-06 23:02:15,371 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-03-06 23:02:15,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-03-06 23:02:15,373 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-03-06 23:02:15,374 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-03-06 23:02:15,379 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be 2024-03-06 23:02:15,380 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test 2024-03-06 23:02:15,380 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb 2024-03-06 23:02:15,381 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:15,381 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:15,382 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:15,391 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external 2024-03-06 23:02:15,392 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-03-06 23:02:15,392 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:15,393 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:15,397 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:15,397 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:15,400 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:15,400 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:15,402 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:15,402 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:15,420 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-03-06 23:02:15,420 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test 2024-03-06 23:02:15,421 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:15,421 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:15,422 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:15,423 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:15,424 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:15,425 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:15,426 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:15,427 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:15,429 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:15,429 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:15,430 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:15,431 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:15,432 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:15,433 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:15,434 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:15,436 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:15,437 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:15,438 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:15,440 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:15,441 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:15,442 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:15,449 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem 2024-03-06 23:02:15,450 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-03-06 23:02:15,450 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-03-06 23:02:15,457 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc 2024-03-06 23:02:15,457 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:15,458 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:15,477 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache 2024-03-06 23:02:15,477 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,478 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,479 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,480 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,481 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,482 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,483 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,483 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,484 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,485 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,486 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,487 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,488 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,489 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,490 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,491 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,492 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,493 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,494 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,495 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,496 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,497 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,498 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,498 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,499 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,500 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,501 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,502 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,503 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:15,504 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:15,505 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:15,506 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:15,507 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:15,508 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:15,509 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:15,511 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,512 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,513 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,514 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,514 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,515 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,516 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,517 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,518 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,519 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,520 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,520 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,521 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,522 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,523 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,524 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,525 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,526 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,527 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,527 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,528 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,529 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:15,531 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:15,531 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:15,533 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:15,533 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:15,534 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:15,535 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:15,536 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:15,537 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:15,539 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:15,539 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:15,540 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:15,541 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:15,542 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:15,543 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:15,544 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:15,545 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:15,545 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:15,546 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:15,547 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:15,548 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:15,550 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:15,550 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:15,554 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:15,555 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy 2024-03-06 23:02:15,555 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net 2024-03-06 23:02:15,556 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:15,556 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:15,563 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard 2024-03-06 23:02:15,563 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40 2024-03-06 23:02:15,564 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:15,564 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:15,566 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:15,566 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:15,567 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:15,568 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:15,569 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:15,571 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:15,572 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:15,573 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:15,574 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:15,575 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:15,575 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:15,578 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250 2024-03-06 23:02:15,579 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:15,579 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:15,581 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:15,581 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:15,582 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:15,583 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:15,584 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:15,585 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-03-06 23:02:15,586 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-03-06 23:02:15,588 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:15,588 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:15,589 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:15,590 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:15,591 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:15,592 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:15,596 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 2024-03-06 23:02:15,597 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:15,597 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:15,599 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:15,599 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:15,600 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:15,601 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:15,602 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:15,611 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me 2024-03-06 23:02:15,611 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test 2024-03-06 23:02:15,612 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb 2024-03-06 23:02:15,612 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:15,612 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:15,613 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:15,614 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:15,616 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software 2024-03-06 23:02:15,617 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-03-06 23:02:15,617 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-03-06 23:02:15,618 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-03-06 23:02:15,619 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-03-06 23:02:15,620 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-03-06 23:02:15,621 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-03-06 23:02:15,625 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe 2024-03-06 23:02:15,626 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test 2024-03-06 23:02:15,626 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb 2024-03-06 23:02:15,626 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:15,627 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:15,628 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:15,640 root INFO running egg_info 2024-03-06 23:02:15,641 root INFO creating pythondata_cpu_blackparrot.egg-info 2024-03-06 23:02:15,648 root INFO writing pythondata_cpu_blackparrot.egg-info/PKG-INFO 2024-03-06 23:02:15,651 root INFO writing dependency_links to pythondata_cpu_blackparrot.egg-info/dependency_links.txt 2024-03-06 23:02:15,653 root INFO writing top-level names to pythondata_cpu_blackparrot.egg-info/top_level.txt 2024-03-06 23:02:15,654 root INFO writing manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' 2024-03-06 23:02:15,892 root INFO reading manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' 2024-03-06 23:02:15,893 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:02:16,033 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:02:16,033 root INFO adding license file 'LICENSE' 2024-03-06 23:02:16,195 root INFO writing manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' 2024-03-06 23:02:16,549 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-03-06 23:02:16,550 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/bp2wb_convertor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-03-06 23:02:16,551 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/cce_ucode.mem -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-03-06 23:02:16,552 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.fpga -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-03-06 23:02:16,553 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-03-06 23:02:16,554 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:16,554 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitlab-ci.yml -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:16,555 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/CONTRIBUTING.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:16,556 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/LICENSE -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:16,557 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:16,558 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.common -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:16,559 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.tools -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:16,559 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:16,560 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github 2024-03-06 23:02:16,561 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/PULL_REQUEST_TEMPLATE.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github 2024-03-06 23:02:16,562 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-03-06 23:02:16,562 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/bug_report.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-03-06 23:02:16,563 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/config.yml -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-03-06 23:02:16,564 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/feature_request.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-03-06 23:02:16,565 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/informational.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-03-06 23:02:16,565 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga 2024-03-06 23:02:16,566 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga/ExampleBlackParrotSystem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga 2024-03-06 23:02:16,567 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation 2024-03-06 23:02:16,567 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation/ExampleBlackParrotSystem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation 2024-03-06 23:02:16,568 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools 2024-03-06 23:02:16,569 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/tools/Makefile.common -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools 2024-03-06 23:02:16,570 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be 2024-03-06 23:02:16,570 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,571 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bloodgraph.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,572 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bootrom.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,573 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_design.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,573 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_loops.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,574 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/checkpoint.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,575 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dcache_regress.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,576 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dram.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,577 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/example_job.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,578 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/icache_regress.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,578 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/l2e_config.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,579 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/lint.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,580 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/linux.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,581 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/me_regress.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,582 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/multicore.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,582 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_atomics.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,583 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_testlist.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,584 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/surelog.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,585 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/sv2v.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,586 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/synth_vivado.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,587 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/tire_kick.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,587 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/weird_config.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:16,588 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me 2024-03-06 23:02:16,589 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,590 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/backend_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,590 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,591 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,592 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_microcode_table.pdf -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,593 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_uarch_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,594 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bp_logo.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,595 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/cce_micro.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,596 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/core_micro.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,598 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_boot.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,599 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cache.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,600 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cfgbus.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,602 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_dma.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,604 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_freeze.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,605 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_io.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,607 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_rf.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,608 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/eval_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,609 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/interface_specification.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,610 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_bug.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,612 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_feature.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,613 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_info.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,614 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/microarchitecture_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,615 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/platform_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,616 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/style_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,617 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/testbench_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,618 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/tile_taxonomy.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:16,620 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe 2024-03-06 23:02:16,621 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-03-06 23:02:16,622 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-03-06 23:02:16,622 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-03-06 23:02:16,623 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-03-06 23:02:16,624 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-03-06 23:02:16,625 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/lint_settings.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-03-06 23:02:16,626 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src 2024-03-06 23:02:16,626 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-03-06 23:02:16,627 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-03-06 23:02:16,628 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-03-06 23:02:16,628 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,629 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,630 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,631 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,631 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_vdp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,632 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,633 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,634 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_minimal.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,635 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,636 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_link_to_lce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,637 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,638 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,638 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,639 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,640 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_mem_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,641 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_multicore.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,642 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_nd_socket.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,643 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,644 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,645 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,645 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_vdp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,646 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,647 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,648 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,649 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore_lite.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:16,650 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test 2024-03-06 23:02:16,650 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,651 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_monitor.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,651 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_branch_profiler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,652 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cache_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,653 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_core_profiler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,654 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cosim.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,655 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_host.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,656 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_if_verif.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,657 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_nbf_loader.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,657 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_pc_profiler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,658 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_perf.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,659 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_vm_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,660 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_watchdog.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,661 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/dromajo_cosim.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:16,662 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb 2024-03-06 23:02:16,662 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,663 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,663 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,664 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,665 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.surelog -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,666 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.sv2v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,667 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,668 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,669 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,669 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vivado -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,670 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/design.xdc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,671 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,672 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.dump -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,673 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.mem -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,674 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.riscv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,675 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,675 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,676 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:16,677 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:16,678 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.common -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:16,679 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:16,679 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.surelog -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:16,680 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.sv2v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:16,681 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:16,682 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:16,683 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vivado -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:16,684 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:16,684 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src 2024-03-06 23:02:16,685 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,685 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,686 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,687 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,688 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,689 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_if.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,690 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,691 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_if.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,691 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,692 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,693 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,694 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,695 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_clint_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,696 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_if.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,697 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,697 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,698 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_host_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,699 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_log_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,700 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,701 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_csr_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,702 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_instr_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,703 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:16,703 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,704 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_mmu.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,705 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_pma.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,706 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_tlb.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,707 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_async_noc_link.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,707 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_bus_pack.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,708 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_cache_dma_to_wormhole.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,709 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_dff_reset_half.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,710 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_fifo_1r1w_rolly.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,711 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_parallel_in_serial_out_passthrough_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,712 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_rom_param.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,713 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_serial_in_parallel_out_passthrough_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,713 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_control.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,714 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,715 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,716 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_to_cache_dma_fanout.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:16,717 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:16,717 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:16,718 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:16,719 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:16,720 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:16,721 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:16,722 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_3r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:16,722 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-03-06 23:02:16,723 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/dc_elab.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-03-06 23:02:16,724 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/vivado_synth.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-03-06 23:02:16,725 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-03-06 23:02:16,725 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-03-06 23:02:16,726 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-03-06 23:02:16,727 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-03-06 23:02:16,728 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-03-06 23:02:16,728 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src 2024-03-06 23:02:16,729 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-03-06 23:02:16,729 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_ctl_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-03-06 23:02:16,730 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-03-06 23:02:16,731 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-03-06 23:02:16,732 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-03-06 23:02:16,733 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-03-06 23:02:16,734 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v 2024-03-06 23:02:16,734 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v 2024-03-06 23:02:16,735 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-03-06 23:02:16,735 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-03-06 23:02:16,736 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_decoder.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-03-06 23:02:16,737 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_wbuf.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-03-06 23:02:16,738 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,739 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,739 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_csr.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,740 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_fp_to_rec.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,741 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_aux.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,742 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_ctl.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,743 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_fma.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,744 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_int.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,745 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_long.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,746 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_mem.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,746 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_sys.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,747 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_ptw.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,748 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_rec_to_fp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:16,749 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:16,749 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_cmd_queue.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:16,750 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:16,751 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_director.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:16,752 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_instr_decoder.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:16,753 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_issue_queue.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:16,754 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_regfile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:16,755 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scheduler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:16,756 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scoreboard.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:16,756 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:16,757 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:16,758 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:16,759 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:16,760 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:16,761 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:16,761 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:16,762 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:16,763 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:16,764 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:16,765 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-03-06 23:02:16,765 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/COPYING.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-03-06 23:02:16,766 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-03-06 23:02:16,767 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-03-06 23:02:16,768 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-03-06 23:02:16,769 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-03-06 23:02:16,770 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitmodules -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-03-06 23:02:16,770 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/LICENSE -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-03-06 23:02:16,771 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-03-06 23:02:16,772 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README_contributing -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-03-06 23:02:16,773 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,773 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_consts.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,774 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_localFuncs.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,775 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_primitives.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,776 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,777 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/addRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,778 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/bsg_hardfloat_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,779 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/compareRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,779 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/divSqrtRecFN_small.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,780 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/fNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,781 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/iNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,782 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/isSigNaNRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,783 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulAddRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,784 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,785 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,786 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToIN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,786 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:16,787 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-03-06 23:02:16,788 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-Verilog.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-03-06 23:02:16,789 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilator.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-03-06 23:02:16,790 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilog.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-03-06 23:02:16,791 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/fma.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-03-06 23:02:16,791 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-03-06 23:02:16,792 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-03-06 23:02:16,793 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-03-06 23:02:16,794 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-03-06 23:02:16,794 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-03-06 23:02:16,795 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-03-06 23:02:16,796 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-03-06 23:02:16,796 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-03-06 23:02:16,797 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-03-06 23:02:16,798 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test 2024-03-06 23:02:16,798 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,799 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/addRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,800 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/compareRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,801 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/divSqrtRecFN_small_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,802 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/fNToRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,802 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/finish_fail-IcarusVerilog.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,803 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/iNToRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,804 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulAddRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,805 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,806 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,807 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToIN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,808 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,808 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/sameRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,809 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_add.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,810 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_sub.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,811 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_compareRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,812 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_div.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,813 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_sqrt.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,814 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_iNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,815 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,815 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_add.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,816 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_mul.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,817 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,818 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,819 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToIN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,820 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:16,821 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,821 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,822 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.h -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,823 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,824 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,825 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,826 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,826 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,827 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,828 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,829 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,830 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,831 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,832 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,833 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,834 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,835 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,835 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,836 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,837 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f128ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,838 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f16ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,839 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f32ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,840 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f64ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,841 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,842 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,842 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,843 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,844 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,845 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,846 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,847 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,848 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,849 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,849 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,850 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,851 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,852 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,853 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,854 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,855 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,856 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,856 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,857 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,858 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,859 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,860 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,861 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,862 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,863 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,863 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,864 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,865 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,866 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,867 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,868 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,869 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,869 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,870 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,871 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,872 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,873 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,874 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,875 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,876 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,876 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,877 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,878 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,879 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,880 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,881 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,882 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,883 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,883 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,884 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,885 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:16,886 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:16,887 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:16,887 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in_no_fc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:16,888 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:16,889 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:16,890 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_murn_gateway.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:16,891 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_async_buffer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:16,892 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_fsb_domain.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:16,893 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_node_domain.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:16,893 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:16,894 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:16,895 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_nonsynth_fsb_node_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:16,896 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-03-06 23:02:16,896 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_credit_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-03-06 23:02:16,897 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-03-06 23:02:16,898 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_ptr_gray.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-03-06 23:02:16,899 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_launch_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-03-06 23:02:16,900 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-03-06 23:02:16,901 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,901 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,902 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,903 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,904 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ascii_writer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,905 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_axi_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,906 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,907 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen_plusarg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,908 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_delay_line.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,908 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,909 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,910 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,911 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,912 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,913 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,914 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_errno.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,914 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_fifo.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,915 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_from_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,916 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,917 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,918 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,919 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,920 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_to_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,921 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,921 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,922 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_map.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,923 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_unmap.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,924 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ramulator_hbm.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,925 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_random_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,926 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_reset_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,927 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_test_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,928 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_triwire.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,928 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_val_watcher_1p.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,929 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_ramulator_hbm.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,930 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,931 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/libdramsim3.mk -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,932 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_assembler_defines.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,933 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_clock_params.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,934 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_data_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:16,934 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:16,935 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:16,936 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:16,937 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_clk_rst_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:16,937 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_controller.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:16,938 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:16,939 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:16,940 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_barrier.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,941 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,942 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_buffered.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,943 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_decoder_dor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,944 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,944 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,945 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,947 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_links.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,947 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,948 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_repeater_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,949 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_ready_and_link_async_to_wormhole.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,950 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_router_crossbar_o_by_i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,951 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,952 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,953 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,953 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,954 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,955 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,956 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,957 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,958 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_decoder_dor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,959 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_input_control.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,960 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_output_control.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,960 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:16,961 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports 2024-03-06 23:02:16,962 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports 2024-03-06 23:02:16,962 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip 2024-03-06 23:02:16,963 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_nonsynth_mixin_motherboard.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip 2024-03-06 23:02:16,964 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,964 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_downstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,965 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_upstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,966 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_iddr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,967 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_isdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,968 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_oddr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,969 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,970 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy_phase_align.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,971 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,972 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_downstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,972 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_upstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,973 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_downstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,974 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,975 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:16,976 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:16,976 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:16,977 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:16,978 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:16,979 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:16,980 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:16,981 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:16,982 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:16,983 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_slave.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:16,983 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_input.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:16,984 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_output.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:16,985 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/test_bsg_comm_link_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:16,986 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:16,987 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:16,987 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:16,988 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:16,989 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dly_line.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:16,990 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dram_clk_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:16,991 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_edge_balanced_mux4.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:16,992 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_nonsynth_clk_watcher.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:16,993 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:16,993 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:16,994 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_replacement.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:16,995 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:16,996 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync_unmanaged.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:16,997 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_tag_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:16,998 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_unmanaged.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:16,999 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:16,999 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,000 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,001 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,002 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,003 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_var.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,004 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,005 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,005 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,006 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,007 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,008 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,009 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,010 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,011 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,012 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,012 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_var.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,013 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,014 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,015 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,016 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,017 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,018 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,018 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,019 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,020 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,021 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_banked_crossbar.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,022 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,023 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,024 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_multiport.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,025 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,025 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_mask_write_byte_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,026 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_assoc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,027 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_assoc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,028 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:17,029 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_abs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,030 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_cin.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,031 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,031 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_ripple_carry.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,032 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_and.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,033 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_fixed.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,034 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_round_robin.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,035 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,036 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_reverse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,036 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_binary_plus_one_to_gray.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,037 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,038 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf_ctrl.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,039 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,040 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,041 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkgate_optional.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,042 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_concentrate_static.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,042 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,043 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,044 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clock_downsample.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,045 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,046 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,047 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,048 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_set_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,048 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_down.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,049 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,050 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,051 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down_variable.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,052 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counting_leading_zeros.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,053 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_control_basic_o_by_i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,054 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_o_by_i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,054 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_cycle_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,055 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,056 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode_with_v.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,057 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_defines.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,058 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,059 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_async_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,060 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_chain.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,060 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,061 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en_bypass.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,062 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,063 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_negedge_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,064 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,065 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,066 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en_bypass.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,066 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_set_clear.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,067 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dlatch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,068 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_edge_detect.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,069 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_encode_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,070 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_expand_bitmask.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,071 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_gray_to_binary.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,071 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,072 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank_reverse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,073 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_id_pool.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,074 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,075 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative_controller.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,076 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_imul_iterative.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,077 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_inv.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,077 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_less_than.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,078 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_sink.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,079 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_source.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,080 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lfsr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,081 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_locking_arb_fixed.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,082 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,083 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,083 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,084 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,085 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_add_unsigned.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,086 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,087 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array_row.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,088 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_pipelined.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,089 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,090 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,090 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,091 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_bitwise.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,092 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_butterfly.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,093 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,094 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_segmented.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,095 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_muxi2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,096 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nand.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,096 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor2.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,097 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,098 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_pg_tree.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,099 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_popcount.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,100 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,101 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,102 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,102 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce_segmented.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,103 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_left.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,104 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_right.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,105 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,106 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_scan.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,107 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_strobe.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,108 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_swap.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,109 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_thermometer_count.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,110 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,111 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,111 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_transpose.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,112 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_unconcentrate_static.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,113 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_after_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,114 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_cycles.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,115 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xnor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,116 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:17,116 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,117 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,118 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo_shared.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,119 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_decode_comb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,120 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_encode_comb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,121 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_shift_decoder.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,122 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_narrow.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,122 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,123 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,124 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,125 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_wormhole.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,126 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_compare_and_swap.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,127 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_credit_to_token.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,128 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,129 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,130 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_narrowed.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,130 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_pseudo_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,131 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,132 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_credit_on_input.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,133 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_hardened.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,134 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,135 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1rw_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,136 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_bypass.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,136 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_reorder.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,137 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,138 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_tracker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,139 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flatten_2D_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,140 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_convert.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,141 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,142 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_make_2D_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,142 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_one_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,143 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,144 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,145 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_passthrough.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,146 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_permute_box.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,147 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_ready_to_credit_flow_converter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,148 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_relay_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,148 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_1_to_n.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,149 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_2_to_2.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,150 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_fifo_to_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,151 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_n_to_1.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,152 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sbox.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,153 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,157 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,158 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,159 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_full.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,160 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_passthrough.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,161 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_shift_reg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,162 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_4.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,163 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_stable.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,163 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_buncher.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,164 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:17,165 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:17,166 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:17,167 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_add_sub.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:17,168 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_classify.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:17,169 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_clz.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:17,169 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_cmp.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:17,170 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_defines.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:17,171 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_f2i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:17,172 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_i2f.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:17,173 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_mul.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:17,174 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_preprocess.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:17,175 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_sticky.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:17,176 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,176 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,178 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,178 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,179 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,180 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,181 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,182 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_data_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,183 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,184 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,185 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_mhu.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,186 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_miss_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,187 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,188 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_stat_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,189 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tag_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,190 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tl_stage.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,190 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,191 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,192 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,193 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,194 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_rx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,195 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_tx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,196 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,196 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_rx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,197 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_tx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,198 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,199 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,200 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx_reorder.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,201 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_tx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,202 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_nonsynth_cache_axe_tracer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:17,203 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-03-06 23:02:17,203 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/Makefile.sim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-03-06 23:02:17,204 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:17,205 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:17,206 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client_unsync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:17,207 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:17,208 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master_decentralized.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:17,209 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:17,209 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:17,210 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-03-06 23:02:17,211 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/BaseJump_STL_DAC_2018_Camera_Ready.pdf -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-03-06 23:02:17,213 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-03-06 23:02:17,214 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy 2024-03-06 23:02:17,214 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy/bsg_murn_converter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy 2024-03-06 23:02:17,215 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib 2024-03-06 23:02:17,215 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib 2024-03-06 23:02:17,216 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io 2024-03-06 23:02:17,217 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:17,217 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_ddr_sampler.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:17,218 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_logic_analyzer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:17,219 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_core.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:17,220 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_input.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:17,221 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_link.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:17,222 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_output.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:17,223 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/config_defs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:17,223 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/definitions.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:17,224 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-03-06 23:02:17,225 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-03-06 23:02:17,226 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb_gate_level.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-03-06 23:02:17,227 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim 2024-03-06 23:02:17,227 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim 2024-03-06 23:02:17,228 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth 2024-03-06 23:02:17,228 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth 2024-03-06 23:02:17,229 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:17,230 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.constraints.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:17,231 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.dc.read_design.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:17,232 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/common_setup.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:17,232 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:17,233 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:17,234 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup_filenames.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:17,235 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-03-06 23:02:17,236 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-03-06 23:02:17,236 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_chip_rocket.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-03-06 23:02:17,237 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_nonsynth_chipset_rocket_fsb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-03-06 23:02:17,238 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_rocket_core_fsb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-03-06 23:02:17,239 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests 2024-03-06 23:02:17,239 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests 2024-03-06 23:02:17,240 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-03-06 23:02:17,241 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-03-06 23:02:17,242 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.trace.in -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-03-06 23:02:17,243 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-03-06 23:02:17,243 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-03-06 23:02:17,244 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-03-06 23:02:17,245 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler 2024-03-06 23:02:17,246 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler/test_bsg_assembler.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler 2024-03-06 23:02:17,246 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link 2024-03-06 23:02:17,247 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link/test_bsg_comm_link.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link 2024-03-06 23:02:17,248 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-03-06 23:02:17,248 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-03-06 23:02:17,249 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/test_bsg_source_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-03-06 23:02:17,250 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv 2024-03-06 23:02:17,250 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif 2024-03-06 23:02:17,251 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif/bsg_fsb_to_htif_connector.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif 2024-03-06 23:02:17,252 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-03-06 23:02:17,252 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_hasti_converter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-03-06 23:02:17,253 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-03-06 23:02:17,254 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-03-06 23:02:17,255 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_master_connector.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-03-06 23:02:17,255 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_slave_connector.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-03-06 23:02:17,256 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_nasti_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-03-06 23:02:17,257 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-03-06 23:02:17,258 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-03-06 23:02:17,258 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-03-06 23:02:17,259 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-03-06 23:02:17,260 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-03-06 23:02:17,261 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-03-06 23:02:17,261 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-03-06 23:02:17,262 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/filelist.lst -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-03-06 23:02:17,263 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/tasks.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-03-06 23:02:17,264 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-03-06 23:02:17,265 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-03-06 23:02:17,265 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-03-06 23:02:17,266 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-03-06 23:02:17,267 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-03-06 23:02:17,268 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:17,268 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:17,269 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:17,270 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_downstream_encode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:17,271 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:17,272 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:17,273 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:17,274 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-03-06 23:02:17,274 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-03-06 23:02:17,275 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-03-06 23:02:17,276 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/bsg_nonsynth_clk_gen_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-03-06 23:02:17,277 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-03-06 23:02:17,278 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/test_bsg.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc 2024-03-06 23:02:17,278 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu 2024-03-06 23:02:17,279 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu 2024-03-06 23:02:17,280 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-03-06 23:02:17,280 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-03-06 23:02:17,281 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-03-06 23:02:17,282 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:17,283 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:17,284 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:17,285 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-03-06 23:02:17,285 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-03-06 23:02:17,286 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-03-06 23:02:17,287 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:17,288 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:17,289 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/cache_miss_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:17,290 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:17,290 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/vcache_blocking.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:17,291 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-03-06 23:02:17,292 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-03-06 23:02:17,293 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/main.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-03-06 23:02:17,294 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/top.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-03-06 23:02:17,294 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-03-06 23:02:17,295 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-03-06 23:02:17,296 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/dut.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-03-06 23:02:17,297 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/response.trace.out -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-03-06 23:02:17,298 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/stimulus.trace.in -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-03-06 23:02:17,298 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/test_bench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-03-06 23:02:17,299 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:17,300 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:17,301 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:17,302 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:17,303 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench_multi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:17,304 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth 2024-03-06 23:02:17,304 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth 2024-03-06 23:02:17,305 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-03-06 23:02:17,305 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-03-06 23:02:17,306 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/main.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-03-06 23:02:17,307 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/top.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-03-06 23:02:17,308 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:17,309 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:17,310 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:17,311 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:17,311 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:17,312 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/1024Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:17,313 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/128Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:17,314 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/2048Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:17,315 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/256Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:17,316 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/512Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:17,316 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:17,317 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr_mcp.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:17,318 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/readme.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:17,319 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/subtest.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:17,320 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.do -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:17,321 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:17,322 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc 2024-03-06 23:02:17,322 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-03-06 23:02:17,323 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-03-06 23:02:17,323 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-03-06 23:02:17,324 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-03-06 23:02:17,325 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-03-06 23:02:17,326 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-03-06 23:02:17,327 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-03-06 23:02:17,327 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-03-06 23:02:17,328 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/bsg_trace_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-03-06 23:02:17,329 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-03-06 23:02:17,330 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-03-06 23:02:17,331 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-03-06 23:02:17,331 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-03-06 23:02:17,332 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-03-06 23:02:17,333 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-03-06 23:02:17,334 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-03-06 23:02:17,335 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-03-06 23:02:17,336 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier 2024-03-06 23:02:17,336 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier/test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier 2024-03-06 23:02:17,337 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:17,338 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:17,338 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:17,339 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_client.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:17,340 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:17,341 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:17,342 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:17,343 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-03-06 23:02:17,343 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-03-06 23:02:17,344 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-03-06 23:02:17,345 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch 2024-03-06 23:02:17,346 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch/test_mesh_to_ring_stitch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch 2024-03-06 23:02:17,346 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-03-06 23:02:17,347 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-03-06 23:02:17,348 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/bsg_trace_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-03-06 23:02:17,349 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-03-06 23:02:17,350 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-03-06 23:02:17,350 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:17,351 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:17,352 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:17,353 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:17,353 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:17,354 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:17,355 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_tile.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:17,356 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:17,357 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-03-06 23:02:17,357 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-03-06 23:02:17,358 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-03-06 23:02:17,359 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-03-06 23:02:17,360 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-03-06 23:02:17,361 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-03-06 23:02:17,361 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-03-06 23:02:17,362 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-03-06 23:02:17,363 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-03-06 23:02:17,364 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-03-06 23:02:17,365 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-03-06 23:02:17,365 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-03-06 23:02:17,366 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-03-06 23:02:17,367 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-03-06 23:02:17,368 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-03-06 23:02:17,368 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-03-06 23:02:17,369 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-03-06 23:02:17,370 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-03-06 23:02:17,371 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-03-06 23:02:17,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-03-06 23:02:17,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-03-06 23:02:17,373 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-03-06 23:02:17,374 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-03-06 23:02:17,375 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-03-06 23:02:17,376 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-03-06 23:02:17,376 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-03-06 23:02:17,377 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-03-06 23:02:17,378 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-03-06 23:02:17,379 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-03-06 23:02:17,380 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-03-06 23:02:17,380 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin 2024-03-06 23:02:17,381 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin/test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin 2024-03-06 23:02:17,382 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-03-06 23:02:17,382 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-03-06 23:02:17,383 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-03-06 23:02:17,384 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-03-06 23:02:17,385 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-03-06 23:02:17,385 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/filelist.f -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-03-06 23:02:17,386 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-03-06 23:02:17,387 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-03-06 23:02:17,388 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-03-06 23:02:17,389 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-03-06 23:02:17,389 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left 2024-03-06 23:02:17,390 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left/main.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left 2024-03-06 23:02:17,391 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-03-06 23:02:17,391 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-03-06 23:02:17,392 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-03-06 23:02:17,393 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-03-06 23:02:17,394 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-03-06 23:02:17,394 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-03-06 23:02:17,395 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-03-06 23:02:17,396 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-03-06 23:02:17,396 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-03-06 23:02:17,397 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-03-06 23:02:17,398 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-03-06 23:02:17,399 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-03-06 23:02:17,400 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-03-06 23:02:17,400 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-03-06 23:02:17,401 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-03-06 23:02:17,402 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-03-06 23:02:17,403 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-03-06 23:02:17,403 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-03-06 23:02:17,404 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-03-06 23:02:17,405 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:17,406 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide.files -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:17,407 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_32.stim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:17,407 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_4.stim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:17,408 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_64.stim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:17,409 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/get_stim.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:17,410 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/mypli.tab -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:17,411 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/s_expected.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:17,412 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/signed.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:17,413 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:17,414 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/u_expected.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:17,414 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/unsigned.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:17,415 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-03-06 23:02:17,416 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-03-06 23:02:17,417 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-03-06 23:02:17,417 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot 2024-03-06 23:02:17,418 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot 2024-03-06 23:02:17,419 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-03-06 23:02:17,419 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-03-06 23:02:17,420 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-03-06 23:02:17,421 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-03-06 23:02:17,421 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-03-06 23:02:17,422 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-03-06 23:02:17,423 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-03-06 23:02:17,424 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-03-06 23:02:17,424 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/another_test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-03-06 23:02:17,425 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-03-06 23:02:17,426 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-03-06 23:02:17,427 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-03-06 23:02:17,427 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-03-06 23:02:17,428 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-03-06 23:02:17,429 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-03-06 23:02:17,430 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/tb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-03-06 23:02:17,431 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/verilator_top.cc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-03-06 23:02:17,431 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-03-06 23:02:17,432 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-03-06 23:02:17,433 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-03-06 23:02:17,434 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-03-06 23:02:17,434 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-03-06 23:02:17,435 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-03-06 23:02:17,436 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-03-06 23:02:17,436 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-03-06 23:02:17,437 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-03-06 23:02:17,438 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-03-06 23:02:17,439 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-03-06 23:02:17,439 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-03-06 23:02:17,440 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-03-06 23:02:17,441 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-03-06 23:02:17,442 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-03-06 23:02:17,442 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-03-06 23:02:17,443 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-03-06 23:02:17,444 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-03-06 23:02:17,445 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-03-06 23:02:17,445 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-03-06 23:02:17,446 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree 2024-03-06 23:02:17,447 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree/test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree 2024-03-06 23:02:17,448 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-03-06 23:02:17,448 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-03-06 23:02:17,449 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-03-06 23:02:17,450 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-03-06 23:02:17,450 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-03-06 23:02:17,451 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-03-06 23:02:17,452 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-03-06 23:02:17,453 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-03-06 23:02:17,453 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-03-06 23:02:17,454 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-03-06 23:02:17,455 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-03-06 23:02:17,456 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-03-06 23:02:17,456 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-03-06 23:02:17,457 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-03-06 23:02:17,458 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-03-06 23:02:17,459 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-03-06 23:02:17,460 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-03-06 23:02:17,460 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-03-06 23:02:17,461 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-03-06 23:02:17,462 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow 2024-03-06 23:02:17,462 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-03-06 23:02:17,463 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-03-06 23:02:17,464 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-03-06 23:02:17,464 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-03-06 23:02:17,465 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-03-06 23:02:17,466 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-03-06 23:02:17,467 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-03-06 23:02:17,467 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-03-06 23:02:17,468 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-03-06 23:02:17,469 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-03-06 23:02:17,469 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-03-06 23:02:17,470 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-03-06 23:02:17,471 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-03-06 23:02:17,472 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-03-06 23:02:17,473 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-03-06 23:02:17,473 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-03-06 23:02:17,474 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/bsg_test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-03-06 23:02:17,475 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/test_bsg_fifo_1r1w_pseudo_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-03-06 23:02:17,476 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-03-06 23:02:17,476 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-03-06 23:02:17,477 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-03-06 23:02:17,478 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-03-06 23:02:17,479 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-03-06 23:02:17,480 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-03-06 23:02:17,480 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-03-06 23:02:17,481 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-03-06 23:02:17,482 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-03-06 23:02:17,483 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-03-06 23:02:17,483 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-03-06 23:02:17,484 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-03-06 23:02:17,485 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-03-06 23:02:17,486 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-03-06 23:02:17,487 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-03-06 23:02:17,488 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-03-06 23:02:17,488 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-03-06 23:02:17,489 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/test_bsg_fifo_1r1w_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-03-06 23:02:17,490 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-03-06 23:02:17,490 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-03-06 23:02:17,491 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-03-06 23:02:17,492 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-03-06 23:02:17,493 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-03-06 23:02:17,494 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-03-06 23:02:17,495 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-03-06 23:02:17,495 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-03-06 23:02:17,496 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/remote_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-03-06 23:02:17,497 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-03-06 23:02:17,498 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-03-06 23:02:17,499 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-03-06 23:02:17,499 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-03-06 23:02:17,500 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-03-06 23:02:17,501 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-03-06 23:02:17,502 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-03-06 23:02:17,503 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-03-06 23:02:17,503 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-03-06 23:02:17,504 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/add_sub_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-03-06 23:02:17,505 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-03-06 23:02:17,506 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-03-06 23:02:17,507 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-03-06 23:02:17,507 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-03-06 23:02:17,508 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-03-06 23:02:17,509 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.h -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-03-06 23:02:17,510 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-03-06 23:02:17,510 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-03-06 23:02:17,511 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/cmp_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-03-06 23:02:17,512 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-03-06 23:02:17,513 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-03-06 23:02:17,513 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-03-06 23:02:17,514 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-03-06 23:02:17,515 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-03-06 23:02:17,516 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/i2f_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-03-06 23:02:17,516 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-03-06 23:02:17,517 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-03-06 23:02:17,518 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-03-06 23:02:17,519 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-03-06 23:02:17,519 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-03-06 23:02:17,520 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/mul_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-03-06 23:02:17,521 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-03-06 23:02:17,522 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-03-06 23:02:17,523 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-03-06 23:02:17,524 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-03-06 23:02:17,524 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-03-06 23:02:17,525 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-03-06 23:02:17,526 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-03-06 23:02:17,527 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-03-06 23:02:17,527 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-03-06 23:02:17,528 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/f2i_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-03-06 23:02:17,529 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-03-06 23:02:17,530 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-03-06 23:02:17,531 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-03-06 23:02:17,531 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,532 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,533 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,534 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/ainv_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,535 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/basic_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,536 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/block_ld_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,536 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,537 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_mhu.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,538 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_miss_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,539 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_tl_stage.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,540 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_top.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,541 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/exclusion.el -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,542 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,543 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/tag_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,543 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:17,544 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:17,545 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:17,546 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:17,547 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:17,548 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:17,549 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/wave.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:17,549 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:17,550 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:17,551 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:17,552 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:17,553 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:17,554 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:17,555 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:17,555 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:17,556 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sweep.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:17,557 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:17,558 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:17,559 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/basic_checker_32.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:17,560 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_dma_model.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:17,561 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_non_blocking_dma_model.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:17,561 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_random_yumi_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:17,562 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:17,563 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:17,564 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:17,565 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/lru_stats.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:17,566 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:17,567 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sweep.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:17,567 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:17,568 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:17,569 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:17,570 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:17,571 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/basic_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:17,572 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:17,573 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:17,574 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/width_sweep.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:17,574 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-03-06 23:02:17,575 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-03-06 23:02:17,576 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-03-06 23:02:17,577 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/bsg_test_node_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-03-06 23:02:17,577 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-03-06 23:02:17,578 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-03-06 23:02:17,579 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:17,580 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:17,581 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_test_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:17,582 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_trace_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:17,583 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:17,584 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:17,584 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/wave.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:17,585 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math 2024-03-06 23:02:17,586 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-03-06 23:02:17,586 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-03-06 23:02:17,587 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/bsg_hypotenuse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-03-06 23:02:17,588 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/test_bsg_hypotenuse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-03-06 23:02:17,589 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-03-06 23:02:17,589 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-03-06 23:02:17,590 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-03-06 23:02:17,591 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/sqrt.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-03-06 23:02:17,592 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net 2024-03-06 23:02:17,593 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:17,593 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/cfgtaggw.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:17,594 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_defs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:17,595 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:17,596 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_snooper.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:17,597 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_utils.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:17,597 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/rNandMeta.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:17,598 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/relay_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:17,599 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:17,600 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_file_setter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:17,601 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_node_bind.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:17,602 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_setter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:17,603 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_snooper_bind.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:17,604 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_spec.in -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:17,604 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/send_config_tag.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:17,605 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-03-06 23:02:17,606 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.bib -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-03-06 23:02:17,607 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.pdf -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-03-06 23:02:17,608 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-03-06 23:02:17,609 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-03-06 23:02:17,610 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests 2024-03-06 23:02:17,610 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-03-06 23:02:17,611 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfg_tag_tb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-03-06 23:02:17,611 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfgtag.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-03-06 23:02:17,612 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/config_defs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-03-06 23:02:17,613 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:17,614 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-net.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:17,614 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-node.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:17,615 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/metastability.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:17,616 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in0.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:17,617 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in1.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:17,618 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:17,619 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/our-cdc.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:17,620 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/relay-node.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:17,620 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/ucsd_ccores.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:17,622 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 2024-03-06 23:02:17,622 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90 2024-03-06 23:02:17,623 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-03-06 23:02:17,623 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-03-06 23:02:17,624 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-03-06 23:02:17,625 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-03-06 23:02:17,626 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-03-06 23:02:17,627 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-03-06 23:02:17,628 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-03-06 23:02:17,628 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_clkgate_optional.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-03-06 23:02:17,629 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_dff_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-03-06 23:02:17,630 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_mux2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-03-06 23:02:17,631 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_muxi2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-03-06 23:02:17,632 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:17,632 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/README.verification -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:17,633 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:17,634 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_dly_line.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:17,635 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:17,636 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:17,636 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:17,637 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:17,638 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:17,639 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:17,640 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:17,641 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:17,642 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:17,643 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:17,644 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:17,644 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_and.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,645 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_buf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,646 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_clkbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,647 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,648 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,649 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,650 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,651 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_inv.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,651 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,652 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_bitwise.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,653 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,654 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nand.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,655 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nor3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,656 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,657 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,657 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,658 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xnor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,659 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:17,660 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:17,660 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:17,661 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/fo4.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:17,662 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/io.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:17,663 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/osc.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:17,664 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.180.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:17,665 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.250.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:17,666 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.40.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:17,667 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.sx -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:17,668 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:17,668 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:17,669 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:17,670 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:17,671 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:17,672 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus 2024-03-06 23:02:17,672 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem 2024-03-06 23:02:17,673 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem 2024-03-06 23:02:17,674 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc 2024-03-06 23:02:17,674 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc/bsg_mul_add_unsigned.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc 2024-03-06 23:02:17,675 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-03-06 23:02:17,675 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/README.verification -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-03-06 23:02:17,676 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-03-06 23:02:17,677 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-03-06 23:02:17,678 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-03-06 23:02:17,679 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-03-06 23:02:17,680 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:17,681 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:17,682 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:17,683 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:17,683 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:17,684 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:17,685 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:17,686 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_and.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,687 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_buf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,688 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_clkbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,689 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,689 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,690 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,691 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,692 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_inv.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,693 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,694 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,695 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nand.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,695 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nor3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,696 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,697 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,698 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,699 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xnor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,700 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:17,701 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-03-06 23:02:17,702 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:17,702 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:17,703 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/io.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:17,704 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/osc.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:17,705 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.180.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:17,706 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.250.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:17,706 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.sx -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:17,707 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:17,708 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:17,709 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:17,710 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:17,711 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:17,712 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40 2024-03-06 23:02:17,712 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-03-06 23:02:17,713 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-03-06 23:02:17,713 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-03-06 23:02:17,714 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-03-06 23:02:17,715 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-03-06 23:02:17,716 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16 2024-03-06 23:02:17,716 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-03-06 23:02:17,717 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_launch_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-03-06 23:02:17,718 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-03-06 23:02:17,719 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-03-06 23:02:17,719 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-03-06 23:02:17,720 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-03-06 23:02:17,721 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-03-06 23:02:17,722 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-03-06 23:02:17,723 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-03-06 23:02:17,723 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-03-06 23:02:17,724 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_sink.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-03-06 23:02:17,725 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_source.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-03-06 23:02:17,726 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-03-06 23:02:17,727 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-03-06 23:02:17,727 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_launch_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-03-06 23:02:17,728 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-03-06 23:02:17,729 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-03-06 23:02:17,729 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_isdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-03-06 23:02:17,730 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_osdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-03-06 23:02:17,731 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-03-06 23:02:17,732 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/README.verification -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-03-06 23:02:17,732 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-03-06 23:02:17,733 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-03-06 23:02:17,734 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-03-06 23:02:17,735 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-03-06 23:02:17,736 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:17,737 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:17,738 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:17,739 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:17,739 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:17,740 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:17,741 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:17,742 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:17,743 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:17,744 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:17,745 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:17,746 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:17,747 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:17,747 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:17,748 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:17,749 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-03-06 23:02:17,750 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_ddr.constraints.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-03-06 23:02:17,750 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_sdr.constraints.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-03-06 23:02:17,751 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:17,752 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:17,753 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/fo4.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:17,754 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/io.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:17,754 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/osc.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:17,755 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.14.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:17,756 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.sx -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:17,757 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-03-06 23:02:17,758 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-03-06 23:02:17,758 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-03-06 23:02:17,759 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-03-06 23:02:17,760 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-03-06 23:02:17,761 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src 2024-03-06 23:02:17,761 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:17,762 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_axi_pkgdef.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:17,763 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cache_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:17,763 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:17,764 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:17,765 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:17,766 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:17,767 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:17,768 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_wormhole_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:17,769 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v 2024-03-06 23:02:17,769 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-03-06 23:02:17,770 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_fifo.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-03-06 23:02:17,771 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_lite_to_bp_lite_client.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-03-06 23:02:17,771 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/bp_lite_to_axi_lite_master.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-03-06 23:02:17,772 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,773 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,774 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_alu.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,775 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_arbitrate.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,776 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_branch.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,776 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,777 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_lru_extract.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,778 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_segment.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,779 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_tag_checker.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,780 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,781 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,782 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_gad.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,783 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_decode.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,784 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_predecode.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,785 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_ram.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,785 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_stall.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,786 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_msg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,787 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pending_bits.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,788 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pma.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,789 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_reg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,790 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_spec_bits.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,791 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_src_sel.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,792 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,793 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_io_cce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,793 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_uce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:17,794 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-03-06 23:02:17,795 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_bedrock_register.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-03-06 23:02:17,796 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_cfg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-03-06 23:02:17,797 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_clint_slice.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-03-06 23:02:17,797 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_loopback.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-03-06 23:02:17,798 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,799 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_burst_to_lite.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,800 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_burst.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,801 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_stream.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,801 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_addr_to_cce_id.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,802 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_id_to_cord.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,803 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_bidir.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,804 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_client.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,805 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_master.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,806 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cord_to_id.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,807 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_lce_id_to_cord.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,807 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_cmd.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,808 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_req.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,809 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_resp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,810 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_cmd.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,811 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_resp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,812 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_stream_to_lite.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:17,812 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-03-06 23:02:17,813 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-03-06 23:02:17,814 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_cmd.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-03-06 23:02:17,815 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_req.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-03-06 23:02:17,816 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache 2024-03-06 23:02:17,816 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache/bp_me_cce_to_cache.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache 2024-03-06 23:02:17,817 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,818 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_dir_nonsynth_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,818 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_mmio_cfg_loader.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,819 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_ddr.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,820 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_dir_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,821 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,822 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tr_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,823 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,824 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,825 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce_tag_lookup.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,826 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,826 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_mem_nonsynth_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,827 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_dram.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,828 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_mem.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,829 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bsg_trace_node_master.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:17,830 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol 2024-03-06 23:02:17,830 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol 2024-03-06 23:02:17,831 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:17,832 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:17,833 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:17,834 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:17,835 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:17,835 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:17,836 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:17,837 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:17,838 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:17,839 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:17,840 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-03-06 23:02:17,840 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-03-06 23:02:17,841 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/mesi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-03-06 23:02:17,842 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-03-06 23:02:17,843 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-03-06 23:02:17,843 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/mesi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-03-06 23:02:17,844 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/moesi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-03-06 23:02:17,845 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/msi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-03-06 23:02:17,846 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-03-06 23:02:17,847 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/build.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-03-06 23:02:17,847 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/run.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-03-06 23:02:17,848 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-03-06 23:02:17,849 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_icache.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-03-06 23:02:17,851 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_pcgen.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-03-06 23:02:17,852 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_top.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-03-06 23:02:17,853 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-03-06 23:02:17,854 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-03-06 23:02:17,855 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-03-06 23:02:17,856 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-03-06 23:02:17,856 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-03-06 23:02:17,857 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/test.lst -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-03-06 23:02:17,858 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src 2024-03-06 23:02:17,858 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-03-06 23:02:17,859 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_decompress.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-03-06 23:02:17,860 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-03-06 23:02:17,861 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-03-06 23:02:17,862 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-03-06 23:02:17,862 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-03-06 23:02:17,863 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:17,864 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_bht.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:17,865 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_btb.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:17,865 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_icache.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:17,866 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_instr_scan.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:17,867 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_pc_gen.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:17,868 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:17,869 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:17,870 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:17,871 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.frag -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:17,872 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:17,872 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:17,873 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:17,874 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:17,875 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:17,876 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:17,877 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:17,878 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:18,020 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:02:18,021 root INFO running install 2024-03-06 23:02:18,094 root INFO running install_lib 2024-03-06 23:02:18,104 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:02:18,105 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:02:18,105 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot 2024-03-06 23:02:18,106 root INFO copying build/lib/pythondata_cpu_blackparrot/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot 2024-03-06 23:02:18,107 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog 2024-03-06 23:02:18,107 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-03-06 23:02:18,108 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.fpga -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-03-06 23:02:18,109 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga 2024-03-06 23:02:18,109 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga/ExampleBlackParrotSystem.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga 2024-03-06 23:02:18,110 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/cce_ucode.mem -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-03-06 23:02:18,111 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation 2024-03-06 23:02:18,111 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation/ExampleBlackParrotSystem.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation 2024-03-06 23:02:18,112 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/bp2wb_convertor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-03-06 23:02:18,113 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-03-06 23:02:18,114 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:18,114 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.tools -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:18,115 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:18,116 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top 2024-03-06 23:02:18,116 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src 2024-03-06 23:02:18,117 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-03-06 23:02:18,118 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-03-06 23:02:18,118 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-03-06 23:02:18,119 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,120 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile_node.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,121 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore_lite.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,121 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_minimal.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,122 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,123 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,124 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile_node.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,124 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_multicore.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,125 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_complex.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,126 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_vdp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,127 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,128 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_complex.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,128 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,129 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_complex.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,130 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,131 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_link_to_lce.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,132 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_complex.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,132 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile_node.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,133 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile_node.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,134 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_vdp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,135 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,135 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile_node.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,136 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_nd_socket.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,137 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_mem_complex.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,138 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-03-06 23:02:18,139 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test 2024-03-06 23:02:18,139 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,140 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_core_profiler.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,141 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_watchdog.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,141 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cosim.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,142 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/dromajo_cosim.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,143 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_if_verif.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,144 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_branch_profiler.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,144 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_perf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,145 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_nbf_loader.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,146 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cache_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,147 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_vm_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,148 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_host.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,148 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_monitor.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,149 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_pc_profiler.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-03-06 23:02:18,150 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb 2024-03-06 23:02:18,151 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,151 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vivado -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,152 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.riscv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,153 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,154 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,154 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.sv2v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,155 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.mem -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,156 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.dump -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,157 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/test_bp.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,158 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/design.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,158 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/testbench.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,159 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.cfgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,160 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.surelog -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,161 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.params -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,161 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.dc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,162 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.testlist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,163 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,164 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-03-06 23:02:18,165 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-03-06 23:02:18,165 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-03-06 23:02:18,166 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-03-06 23:02:18,167 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-03-06 23:02:18,168 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-03-06 23:02:18,168 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/lint_settings.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-03-06 23:02:18,169 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software 2024-03-06 23:02:18,170 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py 2024-03-06 23:02:18,170 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py 2024-03-06 23:02:18,171 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools 2024-03-06 23:02:18,172 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools/Makefile.common -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools 2024-03-06 23:02:18,173 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common 2024-03-06 23:02:18,173 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src 2024-03-06 23:02:18,174 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,174 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,175 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_host_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,176 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_clint_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,177 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,177 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_csr_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,178 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_if.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,179 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,180 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,181 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,181 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_log_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,182 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,183 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,184 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,184 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,185 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,186 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,187 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_if.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,188 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,188 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_if.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,189 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_instr_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,190 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-03-06 23:02:18,191 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,191 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_async_noc_link.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,192 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_serial_in_parallel_out_passthrough_dynamic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,193 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_tlb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,194 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_mmu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,195 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_parallel_in_serial_out_passthrough_dynamic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,195 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_in.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,196 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_cache_dma_to_wormhole.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,197 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_control.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,198 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_bus_pack.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,199 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_to_cache_dma_fanout.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,199 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,200 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_fifo_1r1w_rolly.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,201 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_pma.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,202 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_rom_param.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,202 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_dff_reset_half.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-03-06 23:02:18,203 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:18,204 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vivado -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:18,205 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:18,205 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:18,206 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:18,207 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:18,208 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:18,208 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:18,209 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_3r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-03-06 23:02:18,210 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:18,211 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.sv2v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:18,211 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.surelog -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:18,212 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.common -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:18,213 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.dc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:18,214 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-03-06 23:02:18,214 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/vivado_synth.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-03-06 23:02:18,215 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/dc_elab.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-03-06 23:02:18,216 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:18,217 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-03-06 23:02:18,217 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software 2024-03-06 23:02:18,218 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-03-06 23:02:18,219 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-03-06 23:02:18,219 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-03-06 23:02:18,220 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-03-06 23:02:18,221 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:18,222 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be 2024-03-06 23:02:18,222 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src 2024-03-06 23:02:18,223 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-03-06 23:02:18,223 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-03-06 23:02:18,224 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_ctl_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-03-06 23:02:18,225 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-03-06 23:02:18,226 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-03-06 23:02:18,227 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-03-06 23:02:18,228 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v 2024-03-06 23:02:18,228 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-03-06 23:02:18,229 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-03-06 23:02:18,229 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-03-06 23:02:18,230 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_wbuf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-03-06 23:02:18,231 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,232 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_long.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,233 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_ctl.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,233 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_aux.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,234 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_sys.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,235 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_fma.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,236 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_ptw.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,237 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,237 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_fp_to_rec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,238 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,239 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_rec_to_fp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,240 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_int.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,241 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_csr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-03-06 23:02:18,241 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:18,242 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:18,243 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_director.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:18,244 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_regfile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:18,244 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scheduler.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:18,245 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scoreboard.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:18,246 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_issue_queue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:18,247 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_cmd_queue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:18,247 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_instr_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-03-06 23:02:18,248 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v 2024-03-06 23:02:18,249 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be 2024-03-06 23:02:18,250 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test 2024-03-06 23:02:18,251 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb 2024-03-06 23:02:18,251 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,252 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,252 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,253 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,254 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/test_bp.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,255 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,256 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/testbench.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,256 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.cfgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,257 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.params -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,258 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.dc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,259 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.testlist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,259 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,260 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-03-06 23:02:18,261 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-03-06 23:02:18,262 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-03-06 23:02:18,262 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-03-06 23:02:18,263 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-03-06 23:02:18,264 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-03-06 23:02:18,265 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,265 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/weird_config.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,266 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/lint.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,267 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/linux.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,268 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_loops.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,268 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bootrom.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,269 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/sv2v.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,270 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_testlist.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,271 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/icache_regress.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,271 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/synth_vivado.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,272 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dram.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,273 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dcache_regress.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,274 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/multicore.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,274 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/checkpoint.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,275 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_atomics.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,276 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/me_regress.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,277 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_design.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,277 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bloodgraph.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,278 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/l2e_config.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,279 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/example_job.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,280 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/surelog.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,280 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/tire_kick.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-03-06 23:02:18,281 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.common -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:18,282 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:18,283 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external 2024-03-06 23:02:18,283 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-03-06 23:02:18,284 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-03-06 23:02:18,285 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,285 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/addRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,286 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-03-06 23:02:18,287 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.vi -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-03-06 23:02:18,287 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-03-06 23:02:18,288 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-03-06 23:02:18,289 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.vi -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-03-06 23:02:18,289 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-03-06 23:02:18,290 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-03-06 23:02:18,291 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.vi -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-03-06 23:02:18,292 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-03-06 23:02:18,292 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToIN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,293 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/iNToRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,294 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/fNToRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,295 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulAddRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,296 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/isSigNaNRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,296 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_primitives.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,297 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/compareRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,298 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_localFuncs.vi -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,299 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/bsg_hardfloat_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,299 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,300 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,301 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,302 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,303 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/divSqrtRecFN_small.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,303 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_consts.vi -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-03-06 23:02:18,304 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/COPYING.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-03-06 23:02:18,305 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-03-06 23:02:18,306 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test 2024-03-06 23:02:18,307 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,307 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToIN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,308 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_add.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,309 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,310 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,310 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/sameRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,311 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_compareRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,312 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,313 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,313 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_mul.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,314 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToIN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,315 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_iNToRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,316 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/finish_fail-IcarusVerilog.vi -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,317 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_add.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,317 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_sqrt.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,318 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_div.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,319 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/fNToRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,320 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,320 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/addRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,321 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_sub.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,322 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/divSqrtRecFN_small_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,323 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/iNToRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,324 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,324 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,325 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,326 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,327 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_sqrt.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,328 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,328 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f64ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,329 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,330 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,331 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,332 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,333 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_sqrt.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,333 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,334 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f16ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,335 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_sqrt.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,336 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,337 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,337 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,338 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,339 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,340 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,341 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,341 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,342 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_div.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,343 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,344 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,345 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,346 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,346 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,347 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,348 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,349 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,350 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,350 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,351 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,352 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,353 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,354 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,354 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,355 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,356 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,357 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,358 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,359 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_div.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,359 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,360 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,361 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,362 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,363 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,363 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_div.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,364 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_div.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,365 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,366 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,367 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,367 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f32ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,368 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,369 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,370 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,371 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,372 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,372 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,373 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,374 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,375 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,376 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,376 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,377 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,378 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f128ToRecF128.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,379 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,379 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_sqrt.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,380 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,381 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,382 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI32.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,383 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF64.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,383 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF16.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-03-06 23:02:18,386 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulRecFN.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,387 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulAddRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,388 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,388 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/compareRecFN_spec.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-03-06 23:02:18,389 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-03-06 23:02:18,390 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/fma.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-03-06 23:02:18,391 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilog.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-03-06 23:02:18,391 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilator.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-03-06 23:02:18,392 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-Verilog.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-03-06 23:02:18,393 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-03-06 23:02:18,394 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-03-06 23:02:18,395 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io 2024-03-06 23:02:18,395 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:18,396 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_link.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:18,397 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/config_defs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:18,398 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_ddr_sampler.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:18,398 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/definitions.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:18,399 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:18,400 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_logic_analyzer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:18,401 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_input.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:18,401 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_output.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-03-06 23:02:18,402 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-03-06 23:02:18,403 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb_gate_level.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-03-06 23:02:18,404 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-03-06 23:02:18,404 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim 2024-03-06 23:02:18,405 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim 2024-03-06 23:02:18,406 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth 2024-03-06 23:02:18,407 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:18,407 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:18,408 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup_filenames.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:18,409 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.dc.read_design.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:18,409 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.constraints.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:18,410 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/common_setup.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:18,411 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-03-06 23:02:18,412 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth 2024-03-06 23:02:18,413 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:18,413 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:18,414 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:18,415 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_nonsynth_fsb_node_trace_replay.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:18,416 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_node_domain.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:18,416 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:18,417 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:18,418 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_murn_gateway.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:18,419 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_fsb_domain.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:18,419 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_async_buffer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:18,420 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:18,421 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in_no_fc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-03-06 23:02:18,422 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-03-06 23:02:18,422 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-03-06 23:02:18,423 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_launch_sync_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-03-06 23:02:18,424 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_sync_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-03-06 23:02:18,425 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_ptr_gray.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-03-06 23:02:18,425 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_credit_counter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-03-06 23:02:18,427 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,427 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,428 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_map.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,429 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_data_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,429 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,430 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ascii_writer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,431 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,432 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen_plusarg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,432 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_unmap.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,433 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,434 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,435 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,436 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_triwire.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,436 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,437 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,438 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,439 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_delay_line.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,439 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,440 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_fifo.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,441 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_assembler_defines.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,442 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_trace_replay.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,443 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_errno.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,443 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,444 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,445 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_to_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,446 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,446 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ramulator_hbm.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,447 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,448 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,449 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_val_watcher_1p.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,450 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_random_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,450 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_ramulator_hbm.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,451 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_test_rom.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,452 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/libdramsim3.mk -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,453 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_axi_mem.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,453 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_reset_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,454 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_clock_params.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,455 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,456 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_from_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-03-06 23:02:18,457 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:18,457 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:18,458 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:18,459 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:18,459 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_controller.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:18,460 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_clk_rst_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:18,461 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-03-06 23:02:18,462 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,462 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_in.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,463 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_repeater_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,464 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,465 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,465 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,466 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_barrier.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,467 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_router_crossbar_o_by_i.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,468 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,469 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,469 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_buffered.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,470 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,471 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_input_control.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,472 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,472 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,473 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,474 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,475 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_decoder_dor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,476 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_ready_and_link_async_to_wormhole.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,476 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_in.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,477 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_output_control.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,478 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,479 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,480 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,481 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_links.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,482 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_decoder_dor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-03-06 23:02:18,482 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports 2024-03-06 23:02:18,483 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports 2024-03-06 23:02:18,484 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip 2024-03-06 23:02:18,484 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_nonsynth_mixin_motherboard.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip 2024-03-06 23:02:18,485 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-03-06 23:02:18,486 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_nonsynth_chipset_rocket_fsb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-03-06 23:02:18,487 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_chip_rocket.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-03-06 23:02:18,487 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_rocket_core_fsb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-03-06 23:02:18,488 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-03-06 23:02:18,489 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,490 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy_phase_align.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,490 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,491 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_downstream.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,492 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_upstream.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,493 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_iddr_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,494 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,494 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_downstream.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,495 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,496 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_isdr_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,497 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_downstream.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,497 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,498 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_oddr_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,499 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_upstream.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-03-06 23:02:18,500 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-03-06 23:02:18,501 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:18,501 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_in.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:18,502 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/test_bsg_comm_link_checker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:18,503 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:18,504 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:18,505 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests 2024-03-06 23:02:18,505 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler 2024-03-06 23:02:18,506 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler/test_bsg_assembler.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler 2024-03-06 23:02:18,507 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link 2024-03-06 23:02:18,507 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link/test_bsg_comm_link.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link 2024-03-06 23:02:18,508 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-03-06 23:02:18,509 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/test_bsg_source_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-03-06 23:02:18,509 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-03-06 23:02:18,510 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests 2024-03-06 23:02:18,511 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:18,512 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_output.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:18,512 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:18,513 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_input.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:18,514 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_slave.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:18,515 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-03-06 23:02:18,515 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-03-06 23:02:18,516 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-03-06 23:02:18,517 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.trace.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-03-06 23:02:18,518 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-03-06 23:02:18,519 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-03-06 23:02:18,519 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:18,520 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-03-06 23:02:18,521 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv 2024-03-06 23:02:18,522 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif 2024-03-06 23:02:18,522 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif/bsg_fsb_to_htif_connector.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif 2024-03-06 23:02:18,523 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-03-06 23:02:18,524 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-03-06 23:02:18,524 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_hasti_converter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-03-06 23:02:18,525 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-03-06 23:02:18,526 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_master_connector.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-03-06 23:02:18,527 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_slave_connector.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-03-06 23:02:18,527 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_nasti_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-03-06 23:02:18,528 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:18,529 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dram_clk_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:18,530 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dly_line.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:18,530 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:18,531 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_edge_balanced_mux4.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:18,532 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_nonsynth_clk_watcher.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:18,532 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:18,533 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen_osc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-03-06 23:02:18,534 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-03-06 23:02:18,535 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-03-06 23:02:18,536 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,536 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,537 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.hpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,538 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,538 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_banked.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,539 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_dma.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,540 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_var.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,541 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,542 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,542 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,543 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,544 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,545 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_mask_write_byte_dma.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,545 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,546 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,547 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_multiport.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,548 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,549 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_replacement.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,549 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_unmanaged.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,550 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_var.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,551 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,552 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,552 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,553 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,554 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_tag_array.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,555 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync_unmanaged.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,555 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,556 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,557 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,557 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_assoc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,558 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_banked_crossbar.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,559 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,560 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,561 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_assoc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,561 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_banked.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,562 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,563 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,564 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_banked.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,564 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,565 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,566 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,567 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,567 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,568 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-03-06 23:02:18,569 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,570 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,571 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,571 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-03-06 23:02:18,572 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-03-06 23:02:18,573 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-03-06 23:02:18,574 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-03-06 23:02:18,574 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-03-06 23:02:18,575 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,576 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_id_pool.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,577 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_async_reset.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,577 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_encode_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,578 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,579 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_set_clear.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,580 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_defines.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,580 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xnor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,581 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counting_leading_zeros.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,582 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,583 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkgate_optional.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,584 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,584 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,585 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,586 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor2.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,587 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_unconcentrate_static.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,588 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,588 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf_ctrl.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,589 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dlatch.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,590 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,591 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tielo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,591 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_pipelined.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,592 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_concentrate_static.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,593 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,594 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,594 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_binary_plus_one_to_gray.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,595 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_control_basic_o_by_i.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,596 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_gray_to_binary.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,597 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,597 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_chain.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,598 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_and.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,599 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_set_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,600 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,600 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tiehi.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,601 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux2_gatestack.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,602 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_imul_iterative.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,603 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,604 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_cycles.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,605 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_sink.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,605 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_after_reset.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,606 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_down.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,607 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en_bypass.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,608 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,609 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,609 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_round_robin.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,610 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_gatestack.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,611 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_inv.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,612 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_swap.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,612 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array_row.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,613 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,614 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_cin.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,615 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nand.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,615 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_o_by_i.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,616 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down_variable.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,617 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode_with_v.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,618 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,619 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_pg_tree.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,619 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_synth.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,620 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,621 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,622 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_expand_bitmask.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,622 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_ripple_carry.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,623 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clock_downsample.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,624 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_locking_arb_fixed.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,625 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_bitwise.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,625 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,626 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank_reverse.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,627 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,628 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_reverse.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,628 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_edge_detect.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,629 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_source.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,630 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en_bypass.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,631 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_segmented.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,631 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_add_unsigned.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,632 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,633 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_butterfly.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,634 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkbuf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,634 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor3.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,635 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative_controller.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,636 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_fixed.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,637 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_popcount.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,637 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_thermometer_count.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,638 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,639 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,640 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_cycle_counter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,640 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,641 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_muxi2_gatestack.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,642 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_right.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,643 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,644 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,644 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_less_than.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,645 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,646 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_abs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,647 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lfsr.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,647 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_negedge_reset.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,648 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,649 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_scan.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,650 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_left.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,650 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_transpose.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,651 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce_segmented.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,652 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_strobe.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-03-06 23:02:18,653 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,653 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_compare_and_swap.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,654 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,655 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sbox.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,656 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_4.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,657 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,657 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_stable.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,658 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_shift_reg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,659 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_encode_comb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,660 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_one_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,660 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_full.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,661 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_buncher.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,662 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,663 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_passthrough.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,663 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_make_2D_array.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,664 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,665 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_bypass.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,666 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_permute_box.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,667 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_fifo_to_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,667 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_decode_comb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,668 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_credit_to_token.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,669 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1rw_large.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,670 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,670 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_dynamic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,671 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_narrowed.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,672 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_narrow.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,673 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_hardened.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,673 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,678 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_convert.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,678 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_credit_on_input.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,679 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo_shared.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,680 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_wormhole.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,681 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_1_to_n.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,682 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_shift_decoder.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,683 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,683 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,684 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large_banked.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,685 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_passthrough.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,686 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,687 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_2_to_2.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,688 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_ready_to_credit_flow_converter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,688 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_in.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,689 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_tracker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,690 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,691 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_reorder.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,692 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,693 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_relay_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,693 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,694 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_pseudo_large.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,695 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_n_to_1.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,696 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_dynamic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,697 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flatten_2D_array.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,698 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,698 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_counter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-03-06 23:02:18,699 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:18,700 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_sticky.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:18,701 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_clz.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:18,702 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_f2i.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:18,702 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_defines.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:18,703 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_mul.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:18,704 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_preprocess.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:18,705 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_classify.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:18,706 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:18,707 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_cmp.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:18,707 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_i2f.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:18,708 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_add_sub.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-03-06 23:02:18,709 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,710 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx_reorder.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,711 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_dma.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,711 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_data_mem.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,712 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_mhu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,713 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_tx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,714 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_nonsynth_cache_axe_tracer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,714 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,715 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_rx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,716 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,717 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,718 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_tx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,718 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_miss_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,719 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tag_mem.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,720 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_dma.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,721 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,721 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,722 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,723 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tl_stage.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,724 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,725 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,725 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,726 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,727 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,728 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_tx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,728 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_stat_mem.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,729 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_rx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,730 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,731 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,731 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-03-06 23:02:18,732 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-03-06 23:02:18,733 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test 2024-03-06 23:02:18,734 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:18,734 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:18,735 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:18,736 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:18,736 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:18,737 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:18,738 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:18,739 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:18,740 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:18,740 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:18,741 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-03-06 23:02:18,742 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-03-06 23:02:18,742 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-03-06 23:02:18,743 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-03-06 23:02:18,744 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:18,745 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:18,745 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:18,746 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:18,747 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:18,748 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:18,749 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:18,749 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/vcache_blocking.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:18,750 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:18,751 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:18,752 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/cache_miss_counter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:18,752 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-03-06 23:02:18,753 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-03-06 23:02:18,754 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/main.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-03-06 23:02:18,755 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-03-06 23:02:18,755 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-03-06 23:02:18,756 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-03-06 23:02:18,757 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/dut.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-03-06 23:02:18,758 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/stimulus.trace.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-03-06 23:02:18,758 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/test_bench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-03-06 23:02:18,759 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/response.trace.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-03-06 23:02:18,760 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-03-06 23:02:18,761 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:18,761 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:18,762 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:18,763 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:18,764 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:18,765 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench_multi.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:18,765 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:18,766 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-03-06 23:02:18,767 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth 2024-03-06 23:02:18,768 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth 2024-03-06 23:02:18,768 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-03-06 23:02:18,769 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/main.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-03-06 23:02:18,770 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-03-06 23:02:18,771 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-03-06 23:02:18,771 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:18,772 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:18,773 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:18,774 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:18,774 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:18,775 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:18,776 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-03-06 23:02:18,777 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-03-06 23:02:18,777 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/tasks.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-03-06 23:02:18,778 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-03-06 23:02:18,779 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:18,779 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/128Mb_mobile_ddr_parameters.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:18,780 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/512Mb_mobile_ddr_parameters.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:18,781 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr_mcp.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:18,782 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/readme.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:18,783 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/1024Mb_mobile_ddr_parameters.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:18,783 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:18,784 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/subtest.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:18,785 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/2048Mb_mobile_ddr_parameters.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:18,786 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:18,787 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/256Mb_mobile_ddr_parameters.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:18,787 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-03-06 23:02:18,788 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/filelist.lst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-03-06 23:02:18,789 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-03-06 23:02:18,790 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc 2024-03-06 23:02:18,791 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-03-06 23:02:18,791 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-03-06 23:02:18,792 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-03-06 23:02:18,793 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-03-06 23:02:18,794 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-03-06 23:02:18,794 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_test_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-03-06 23:02:18,795 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-03-06 23:02:18,796 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-03-06 23:02:18,797 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-03-06 23:02:18,797 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/bsg_trace_rom.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-03-06 23:02:18,798 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-03-06 23:02:18,799 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-03-06 23:02:18,800 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_test_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-03-06 23:02:18,801 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-03-06 23:02:18,801 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-03-06 23:02:18,802 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-03-06 23:02:18,803 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-03-06 23:02:18,804 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier 2024-03-06 23:02:18,804 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier/test.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier 2024-03-06 23:02:18,805 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:18,806 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:18,806 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:18,807 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_client.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:18,808 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:18,809 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:18,810 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-03-06 23:02:18,810 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-03-06 23:02:18,811 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-03-06 23:02:18,812 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:18,812 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:18,813 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:18,814 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:18,815 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:18,815 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:18,816 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_tile.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:18,817 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-03-06 23:02:18,818 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-03-06 23:02:18,819 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch 2024-03-06 23:02:18,819 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch/test_mesh_to_ring_stitch.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch 2024-03-06 23:02:18,820 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-03-06 23:02:18,821 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-03-06 23:02:18,821 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-03-06 23:02:18,822 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/bsg_trace_rom.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-03-06 23:02:18,823 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-03-06 23:02:18,824 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-03-06 23:02:18,824 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-03-06 23:02:18,825 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-03-06 23:02:18,826 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-03-06 23:02:18,827 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:18,827 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-03-06 23:02:18,828 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-03-06 23:02:18,829 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-03-06 23:02:18,829 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_test_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-03-06 23:02:18,830 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-03-06 23:02:18,831 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_downstream_encode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:18,832 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:18,833 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:18,833 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_test_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:18,834 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:18,835 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-03-06 23:02:18,836 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-03-06 23:02:18,836 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-03-06 23:02:18,837 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-03-06 23:02:18,838 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/bsg_nonsynth_clk_gen_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-03-06 23:02:18,839 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-03-06 23:02:18,839 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-03-06 23:02:18,840 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem 2024-03-06 23:02:18,841 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-03-06 23:02:18,842 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-03-06 23:02:18,842 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-03-06 23:02:18,843 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-03-06 23:02:18,844 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-03-06 23:02:18,844 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-03-06 23:02:18,845 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-03-06 23:02:18,846 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-03-06 23:02:18,847 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-03-06 23:02:18,847 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-03-06 23:02:18,848 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-03-06 23:02:18,849 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-03-06 23:02:18,849 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-03-06 23:02:18,850 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-03-06 23:02:18,851 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-03-06 23:02:18,852 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-03-06 23:02:18,852 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-03-06 23:02:18,853 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-03-06 23:02:18,854 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-03-06 23:02:18,855 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-03-06 23:02:18,855 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-03-06 23:02:18,856 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-03-06 23:02:18,857 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-03-06 23:02:18,857 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-03-06 23:02:18,858 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-03-06 23:02:18,859 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-03-06 23:02:18,860 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-03-06 23:02:18,861 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc 2024-03-06 23:02:18,861 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin 2024-03-06 23:02:18,862 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin/test.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin 2024-03-06 23:02:18,863 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-03-06 23:02:18,863 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-03-06 23:02:18,864 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-03-06 23:02:18,865 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-03-06 23:02:18,865 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-03-06 23:02:18,866 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/filelist.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-03-06 23:02:18,867 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-03-06 23:02:18,868 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-03-06 23:02:18,868 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-03-06 23:02:18,869 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-03-06 23:02:18,870 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left 2024-03-06 23:02:18,870 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left/main.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left 2024-03-06 23:02:18,871 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-03-06 23:02:18,872 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-03-06 23:02:18,873 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-03-06 23:02:18,873 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-03-06 23:02:18,874 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-03-06 23:02:18,875 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-03-06 23:02:18,876 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-03-06 23:02:18,877 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-03-06 23:02:18,877 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-03-06 23:02:18,878 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-03-06 23:02:18,879 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-03-06 23:02:18,879 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-03-06 23:02:18,880 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-03-06 23:02:18,881 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-03-06 23:02:18,882 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-03-06 23:02:18,882 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-03-06 23:02:18,883 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-03-06 23:02:18,884 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-03-06 23:02:18,884 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-03-06 23:02:18,885 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,886 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_64.stim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,887 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide.files -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,887 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_32.stim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,888 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,889 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,890 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_4.stim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,890 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/signed.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,891 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/unsigned.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,892 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/get_stim.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,893 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/mypli.tab -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,893 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/s_expected.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,894 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/u_expected.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,895 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-03-06 23:02:18,896 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-03-06 23:02:18,896 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-03-06 23:02:18,897 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-03-06 23:02:18,898 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot 2024-03-06 23:02:18,899 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot 2024-03-06 23:02:18,899 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-03-06 23:02:18,900 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-03-06 23:02:18,901 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-03-06 23:02:18,902 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-03-06 23:02:18,902 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-03-06 23:02:18,903 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-03-06 23:02:18,904 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-03-06 23:02:18,904 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/another_test.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-03-06 23:02:18,905 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-03-06 23:02:18,906 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-03-06 23:02:18,907 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-03-06 23:02:18,907 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-03-06 23:02:18,908 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-03-06 23:02:18,909 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-03-06 23:02:18,910 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/verilator_top.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-03-06 23:02:18,910 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-03-06 23:02:18,911 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-03-06 23:02:18,912 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/test_bsg.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc 2024-03-06 23:02:18,913 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-03-06 23:02:18,913 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-03-06 23:02:18,914 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-03-06 23:02:18,915 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-03-06 23:02:18,916 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-03-06 23:02:18,916 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-03-06 23:02:18,917 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-03-06 23:02:18,918 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-03-06 23:02:18,918 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-03-06 23:02:18,919 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-03-06 23:02:18,920 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-03-06 23:02:18,921 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-03-06 23:02:18,921 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-03-06 23:02:18,922 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-03-06 23:02:18,923 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-03-06 23:02:18,924 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-03-06 23:02:18,924 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-03-06 23:02:18,925 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-03-06 23:02:18,926 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-03-06 23:02:18,926 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-03-06 23:02:18,927 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree 2024-03-06 23:02:18,928 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree/test.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree 2024-03-06 23:02:18,929 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-03-06 23:02:18,929 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-03-06 23:02:18,930 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-03-06 23:02:18,931 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-03-06 23:02:18,931 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-03-06 23:02:18,932 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-03-06 23:02:18,933 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-03-06 23:02:18,934 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-03-06 23:02:18,934 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-03-06 23:02:18,935 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-03-06 23:02:18,936 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-03-06 23:02:18,937 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-03-06 23:02:18,937 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-03-06 23:02:18,938 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-03-06 23:02:18,939 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-03-06 23:02:18,940 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-03-06 23:02:18,940 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-03-06 23:02:18,941 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-03-06 23:02:18,942 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-03-06 23:02:18,943 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow 2024-03-06 23:02:18,943 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-03-06 23:02:18,944 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-03-06 23:02:18,945 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-03-06 23:02:18,946 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-03-06 23:02:18,946 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-03-06 23:02:18,947 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-03-06 23:02:18,948 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-03-06 23:02:18,948 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-03-06 23:02:18,949 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-03-06 23:02:18,950 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-03-06 23:02:18,951 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-03-06 23:02:18,951 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-03-06 23:02:18,952 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-03-06 23:02:18,953 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-03-06 23:02:18,954 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-03-06 23:02:18,954 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/test_bsg_fifo_1r1w_pseudo_large.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-03-06 23:02:18,955 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/bsg_test.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-03-06 23:02:18,956 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-03-06 23:02:18,957 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-03-06 23:02:18,957 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-03-06 23:02:18,958 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-03-06 23:02:18,959 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-03-06 23:02:18,959 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-03-06 23:02:18,960 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-03-06 23:02:18,961 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-03-06 23:02:18,962 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-03-06 23:02:18,962 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-03-06 23:02:18,963 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-03-06 23:02:18,964 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_test_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-03-06 23:02:18,965 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-03-06 23:02:18,965 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-03-06 23:02:18,966 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-03-06 23:02:18,967 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-03-06 23:02:18,968 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-03-06 23:02:18,968 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/test_bsg_fifo_1r1w_large.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-03-06 23:02:18,969 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-03-06 23:02:18,970 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-03-06 23:02:18,971 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_test_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-03-06 23:02:18,971 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/filelist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-03-06 23:02:18,972 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_tester.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-03-06 23:02:18,973 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-03-06 23:02:18,974 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-03-06 23:02:18,975 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-03-06 23:02:18,975 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/remote_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-03-06 23:02:18,976 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-03-06 23:02:18,977 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-03-06 23:02:18,977 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-03-06 23:02:18,978 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-03-06 23:02:18,979 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-03-06 23:02:18,980 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-03-06 23:02:18,980 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-03-06 23:02:18,981 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-03-06 23:02:18,982 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu 2024-03-06 23:02:18,983 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-03-06 23:02:18,983 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/rtl_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-03-06 23:02:18,984 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-03-06 23:02:18,985 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/add_sub_32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-03-06 23:02:18,986 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/synth_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-03-06 23:02:18,986 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-03-06 23:02:18,987 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-03-06 23:02:18,988 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-03-06 23:02:18,989 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-03-06 23:02:18,990 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-03-06 23:02:18,990 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/rtl_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-03-06 23:02:18,991 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/cmp_32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-03-06 23:02:18,992 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-03-06 23:02:18,992 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/synth_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-03-06 23:02:18,993 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-03-06 23:02:18,994 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-03-06 23:02:18,995 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/rtl_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-03-06 23:02:18,995 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/i2f_32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-03-06 23:02:18,996 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-03-06 23:02:18,997 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/synth_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-03-06 23:02:18,998 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-03-06 23:02:18,999 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu 2024-03-06 23:02:18,999 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-03-06 23:02:19,000 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/mul_32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-03-06 23:02:19,001 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/rtl_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-03-06 23:02:19,001 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-03-06 23:02:19,002 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/synth_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-03-06 23:02:19,003 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-03-06 23:02:19,004 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-03-06 23:02:19,004 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-03-06 23:02:19,005 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-03-06 23:02:19,006 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-03-06 23:02:19,007 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-03-06 23:02:19,007 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/f2i_32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-03-06 23:02:19,008 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/rtl_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-03-06 23:02:19,009 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-03-06 23:02:19,010 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/synth_sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-03-06 23:02:19,010 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-03-06 23:02:19,011 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache 2024-03-06 23:02:19,012 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,013 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,013 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,014 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/basic_checker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,015 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,016 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/tag_checker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,017 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/ainv_checker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,017 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,018 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,019 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,020 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,020 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,021 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,022 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,023 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,024 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,024 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,025 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,026 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,027 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,027 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,028 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,029 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,030 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,031 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_tl_stage.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,031 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,032 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,033 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,034 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,034 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,035 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,036 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_mhu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,037 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,038 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,038 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/block_ld_checker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,039 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,040 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,041 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,041 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_miss_fifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,042 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,043 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,044 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,045 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/exclusion.el -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,045 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-03-06 23:02:19,046 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:19,047 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:19,047 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:19,048 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:19,049 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:19,050 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/wave.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:19,051 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:19,051 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-03-06 23:02:19,052 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:19,053 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:19,054 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:19,054 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:19,055 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:19,056 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:19,057 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:19,057 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:19,058 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-03-06 23:02:19,059 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,060 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,060 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sweep.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,061 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,062 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,063 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,064 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,064 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,065 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,066 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,067 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,070 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,070 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,071 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,072 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,073 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,074 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,074 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,075 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,076 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,077 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,077 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,078 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,079 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,080 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,081 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,081 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-03-06 23:02:19,082 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:19,083 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_non_blocking_dma_model.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:19,084 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_dma_model.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:19,085 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:19,085 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_random_yumi_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:19,086 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:19,087 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/basic_checker_32.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-03-06 23:02:19,088 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,088 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,089 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sweep.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,090 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,091 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,091 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,092 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,093 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,094 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,094 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,095 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,096 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/lru_stats.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,097 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-03-06 23:02:19,098 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,098 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/basic_checker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,099 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,100 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/width_sweep.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,101 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,101 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,102 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,103 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,104 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,104 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,105 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,106 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,107 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,108 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,108 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,109 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,110 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,111 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,111 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-03-06 23:02:19,112 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-03-06 23:02:19,113 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/bsg_test_node_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-03-06 23:02:19,114 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-03-06 23:02:19,114 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-03-06 23:02:19,115 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-03-06 23:02:19,116 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-03-06 23:02:19,117 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:19,117 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:19,118 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:19,119 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_test_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:19,120 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:19,121 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/sv.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:19,121 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_trace_rom.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:19,122 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/wave.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:19,123 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-03-06 23:02:19,124 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/Makefile.sim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-03-06 23:02:19,125 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-03-06 23:02:19,125 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/test_bsg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-03-06 23:02:19,126 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-03-06 23:02:19,127 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math 2024-03-06 23:02:19,127 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-03-06 23:02:19,128 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/bsg_hypotenuse.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-03-06 23:02:19,129 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/test_bsg_hypotenuse.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-03-06 23:02:19,130 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-03-06 23:02:19,130 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-03-06 23:02:19,131 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-03-06 23:02:19,132 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-03-06 23:02:19,132 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-03-06 23:02:19,133 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-03-06 23:02:19,134 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:19,135 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_pkg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:19,135 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client_unsync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:19,136 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_trace_replay.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:19,137 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:19,138 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:19,139 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy 2024-03-06 23:02:19,139 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net 2024-03-06 23:02:19,140 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:19,140 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_utils.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:19,141 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_defs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:19,142 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/rNandMeta.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:19,143 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:19,143 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/relay_node.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:19,144 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/cfgtaggw.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:19,145 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_snooper.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-03-06 23:02:19,146 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net 2024-03-06 23:02:19,147 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests 2024-03-06 23:02:19,147 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-03-06 23:02:19,148 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/config_defs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-03-06 23:02:19,149 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfgtag.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-03-06 23:02:19,149 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfg_tag_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-03-06 23:02:19,150 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:19,151 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_file_setter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:19,151 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_node_bind.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:19,152 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:19,153 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_setter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:19,154 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_snooper_bind.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:19,155 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:19,156 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_spec.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:19,156 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/send_config_tag.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-03-06 23:02:19,157 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-03-06 23:02:19,158 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-03-06 23:02:19,159 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.bib -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-03-06 23:02:19,160 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:19,160 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-net.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:19,161 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/our-cdc.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:19,162 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:19,163 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-node.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:19,163 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/relay-node.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:19,164 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in1.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:19,165 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/ucsd_ccores.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:19,166 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in0.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:19,167 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/metastability.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-03-06 23:02:19,168 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.tex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-03-06 23:02:19,169 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-03-06 23:02:19,169 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:19,170 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master_decentralized.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-03-06 23:02:19,171 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README_contributing -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-03-06 23:02:19,172 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-03-06 23:02:19,172 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/BaseJump_STL_DAC_2018_Camera_Ready.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-03-06 23:02:19,174 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-03-06 23:02:19,175 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy 2024-03-06 23:02:19,176 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy/bsg_murn_converter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy 2024-03-06 23:02:19,176 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard 2024-03-06 23:02:19,177 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90 2024-03-06 23:02:19,178 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-03-06 23:02:19,178 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-03-06 23:02:19,179 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-03-06 23:02:19,180 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-03-06 23:02:19,181 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-03-06 23:02:19,181 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-03-06 23:02:19,182 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-03-06 23:02:19,183 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_clkgate_optional.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-03-06 23:02:19,184 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_mux2_gatestack.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-03-06 23:02:19,184 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_dff_gatestack.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-03-06 23:02:19,185 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_muxi2_gatestack.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-03-06 23:02:19,186 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40 2024-03-06 23:02:19,187 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:19,187 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:19,188 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:19,189 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:19,189 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/io.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:19,190 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/osc.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:19,191 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.180.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:19,192 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.40.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:19,192 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.250.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:19,193 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/fo4.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:19,194 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:19,195 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.sx -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-03-06 23:02:19,196 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:19,196 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/README.verification -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:19,197 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_dly_line.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:19,198 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_clk_gen_osc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-03-06 23:02:19,199 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:19,199 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:19,200 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:19,201 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:19,202 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:19,202 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:19,203 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:19,204 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:19,205 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:19,206 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-03-06 23:02:19,207 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,207 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:19,208 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:19,209 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:19,209 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:19,210 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:19,211 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:19,212 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:19,213 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:19,213 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:19,214 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:19,215 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-03-06 23:02:19,216 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xnor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,217 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,217 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,218 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,219 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tielo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,220 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,221 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,221 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,222 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_and.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,223 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tiehi.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,224 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,224 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_inv.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,225 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nand.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,226 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,227 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,228 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_bitwise.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,228 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_buf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,229 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_clkbuf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,230 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nor3.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,231 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,231 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,232 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-03-06 23:02:19,233 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus 2024-03-06 23:02:19,234 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem 2024-03-06 23:02:19,234 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem 2024-03-06 23:02:19,235 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc 2024-03-06 23:02:19,236 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc/bsg_mul_add_unsigned.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc 2024-03-06 23:02:19,236 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250 2024-03-06 23:02:19,237 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-03-06 23:02:19,238 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-03-06 23:02:19,238 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-03-06 23:02:19,239 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:19,240 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/io.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:19,241 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/osc.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:19,241 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.180.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:19,242 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.250.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:19,243 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:19,244 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.sx -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-03-06 23:02:19,244 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-03-06 23:02:19,245 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/README.verification -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-03-06 23:02:19,246 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_clk_gen_osc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-03-06 23:02:19,247 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:19,247 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:19,248 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:19,249 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:19,250 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:19,250 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:19,251 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:19,252 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:19,253 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-03-06 23:02:19,254 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,254 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:19,255 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:19,256 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:19,257 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:19,257 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:19,258 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:19,259 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:19,260 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:19,260 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:19,261 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:19,262 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-03-06 23:02:19,263 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xnor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,264 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,264 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_one_hot.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,265 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,266 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tielo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,267 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,267 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,268 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,269 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_and.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,270 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tiehi.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,270 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset_en.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,271 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_inv.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,272 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nand.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,273 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,274 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,274 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_buf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,275 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_clkbuf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,276 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nor3.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,277 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,277 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,278 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-03-06 23:02:19,279 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-03-06 23:02:19,280 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-03-06 23:02:19,280 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-03-06 23:02:19,281 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40 2024-03-06 23:02:19,282 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-03-06 23:02:19,282 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-03-06 23:02:19,283 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-03-06 23:02:19,284 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-03-06 23:02:19,285 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-03-06 23:02:19,286 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16 2024-03-06 23:02:19,286 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-03-06 23:02:19,287 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_launch_sync_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-03-06 23:02:19,288 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_sync_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-03-06 23:02:19,289 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-03-06 23:02:19,289 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-03-06 23:02:19,290 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-03-06 23:02:19,291 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-03-06 23:02:19,291 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-03-06 23:02:19,292 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-03-06 23:02:19,293 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-03-06 23:02:19,294 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_sink.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-03-06 23:02:19,294 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-03-06 23:02:19,295 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_source.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-03-06 23:02:19,296 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 2024-03-06 23:02:19,297 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-03-06 23:02:19,297 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_launch_sync_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-03-06 23:02:19,298 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_sync_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-03-06 23:02:19,299 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-03-06 23:02:19,300 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_osdr_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-03-06 23:02:19,300 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_isdr_phy.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-03-06 23:02:19,301 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-03-06 23:02:19,302 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_ddr.constraints.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-03-06 23:02:19,303 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_sdr.constraints.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-03-06 23:02:19,303 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-03-06 23:02:19,304 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-03-06 23:02:19,305 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-03-06 23:02:19,306 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:19,306 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.14.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:19,307 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/io.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:19,308 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/osc.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:19,309 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/fo4.sp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:19,309 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:19,310 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.sx -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-03-06 23:02:19,311 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-03-06 23:02:19,312 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/README.verification -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-03-06 23:02:19,312 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_clk_gen_osc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-03-06 23:02:19,313 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,314 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync_macros.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,315 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,315 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync_macros.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,316 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,317 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync_macros.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,318 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,318 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_macros.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,319 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,320 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_macros.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,321 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,322 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_macros.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,322 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,323 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-03-06 23:02:19,324 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:19,325 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:19,325 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tielo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:19,326 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:19,327 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tiehi.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:19,328 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:19,328 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:19,329 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-03-06 23:02:19,330 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 2024-03-06 23:02:19,331 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib 2024-03-06 23:02:19,331 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib 2024-03-06 23:02:19,332 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:19,333 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitlab-ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:19,334 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me 2024-03-06 23:02:19,335 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src 2024-03-06 23:02:19,335 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:19,336 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_wormhole_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:19,336 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:19,337 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cache_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:19,338 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:19,339 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:19,340 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:19,340 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:19,341 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_axi_pkgdef.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-03-06 23:02:19,342 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v 2024-03-06 23:02:19,343 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-03-06 23:02:19,343 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/bp_lite_to_axi_lite_master.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-03-06 23:02:19,344 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-03-06 23:02:19,345 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_lite_to_bp_lite_client.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-03-06 23:02:19,346 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,346 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_gad.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,347 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_segment.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,348 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,349 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_stall.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,350 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,351 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_spec_bits.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,351 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pending_bits.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,352 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,353 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,354 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_reg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,355 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_tag_checker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,355 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_uce.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,356 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,357 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_predecode.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,358 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_io_cce.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,358 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_lru_extract.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,359 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_src_sel.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,360 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_branch.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,361 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_arbitrate.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,362 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,362 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_msg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,363 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,364 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_decode.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,365 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pma.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-03-06 23:02:19,366 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-03-06 23:02:19,366 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_loopback.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-03-06 23:02:19,367 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-03-06 23:02:19,368 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_bedrock_register.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-03-06 23:02:19,369 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_clint_slice.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-03-06 23:02:19,370 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,370 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_burst_to_lite.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,371 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_req.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,372 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cord_to_id.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,372 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_resp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,373 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_stream.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,374 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_burst.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,375 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_stream_to_lite.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,375 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_lce_id_to_cord.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,376 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_addr_to_cce_id.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,377 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_bidir.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,378 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_master.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,379 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_cmd.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,379 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_id_to_cord.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,380 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_client.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,381 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_resp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,382 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_cmd.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-03-06 23:02:19,383 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-03-06 23:02:19,383 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_cmd.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-03-06 23:02:19,384 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_req.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-03-06 23:02:19,385 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-03-06 23:02:19,386 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache 2024-03-06 23:02:19,386 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache/bp_me_cce_to_cache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache 2024-03-06 23:02:19,387 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me 2024-03-06 23:02:19,388 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test 2024-03-06 23:02:19,389 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,389 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_dram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,390 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,391 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,391 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,392 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tr_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,393 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce_tag_lookup.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,394 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,395 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bsg_trace_node_master.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,395 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,396 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_dir_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,397 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_mem_nonsynth_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,398 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_ddr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,398 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_dir_nonsynth_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,399 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_mmio_cfg_loader.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-03-06 23:02:19,400 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb 2024-03-06 23:02:19,401 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,401 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,402 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,403 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_bp.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,404 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/testbench.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,404 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.cfgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,405 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,406 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,406 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.params -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,407 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,408 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.dc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,409 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.testlist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,409 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,410 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-03-06 23:02:19,411 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol 2024-03-06 23:02:19,412 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-03-06 23:02:19,412 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/mesi.m -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-03-06 23:02:19,413 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-03-06 23:02:19,414 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol 2024-03-06 23:02:19,415 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-03-06 23:02:19,415 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/mesi.m -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-03-06 23:02:19,416 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/moesi.m -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-03-06 23:02:19,417 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/msi.m -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-03-06 23:02:19,418 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-03-06 23:02:19,418 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-03-06 23:02:19,419 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-03-06 23:02:19,420 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-03-06 23:02:19,420 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-03-06 23:02:19,421 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-03-06 23:02:19,422 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software 2024-03-06 23:02:19,423 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-03-06 23:02:19,423 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-03-06 23:02:19,424 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-03-06 23:02:19,425 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-03-06 23:02:19,425 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-03-06 23:02:19,426 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-03-06 23:02:19,427 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-03-06 23:02:19,428 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/build.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-03-06 23:02:19,428 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/run.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-03-06 23:02:19,429 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,430 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_boot.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,431 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/platform_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,431 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cache.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,433 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_uarch_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,433 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/microarchitecture_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,434 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/testbench_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,435 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_bug.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,437 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_io.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,438 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_dma.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,439 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_feature.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,441 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/style_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,442 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,442 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_info.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,443 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_rf.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,445 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,446 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_freeze.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,447 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/tile_taxonomy.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,450 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cfgbus.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,451 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/cce_micro.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,452 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_microcode_table.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,453 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/backend_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,453 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/core_micro.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,455 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/eval_guide.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,456 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bp_logo.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,457 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/interface_specification.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-03-06 23:02:19,458 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-03-06 23:02:19,459 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe 2024-03-06 23:02:19,459 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src 2024-03-06 23:02:19,460 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-03-06 23:02:19,461 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_pkgdef.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-03-06 23:02:19,461 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-03-06 23:02:19,462 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_decompress.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-03-06 23:02:19,463 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-03-06 23:02:19,464 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-03-06 23:02:19,465 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:19,465 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_bht.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:19,466 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:19,467 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_pc_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:19,468 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_btb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:19,468 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_icache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:19,469 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_instr_scan.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-03-06 23:02:19,470 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-03-06 23:02:19,471 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_top.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-03-06 23:02:19,472 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_pcgen.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-03-06 23:02:19,473 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_icache.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-03-06 23:02:19,475 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe 2024-03-06 23:02:19,476 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test 2024-03-06 23:02:19,476 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb 2024-03-06 23:02:19,477 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,478 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,478 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,479 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.frag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,480 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,481 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/test_bp.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,482 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,482 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/testbench.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,483 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.cfgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,484 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.params -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,485 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.dc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,486 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.testlist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,486 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,487 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-03-06 23:02:19,488 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-03-06 23:02:19,489 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-03-06 23:02:19,489 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/flist.vcs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-03-06 23:02:19,490 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/test.lst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-03-06 23:02:19,491 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.verilator -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-03-06 23:02:19,492 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-03-06 23:02:19,492 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github 2024-03-06 23:02:19,493 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-03-06 23:02:19,494 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/bug_report.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-03-06 23:02:19,494 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/informational.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-03-06 23:02:19,495 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/config.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-03-06 23:02:19,496 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/feature_request.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-03-06 23:02:19,497 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/PULL_REQUEST_TEMPLATE.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github 2024-03-06 23:02:19,497 root INFO running install_egg_info 2024-03-06 23:02:19,516 root INFO Copying pythondata_cpu_blackparrot.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot-0.0.post1817-py3.11.egg-info 2024-03-06 23:02:19,520 root INFO running install_scripts 2024-03-06 23:02:19,529 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_blackparrot-0.0.post1817.dist-info/WHEEL 2024-03-06 23:02:19,533 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-lqalgk69/pythondata_cpu_blackparrot-0.0.post1817-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:02:19,535 wheel INFO adding 'pythondata_cpu_blackparrot/__init__.py' 2024-03-06 23:02:19,540 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitignore' 2024-03-06 23:02:19,541 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitlab-ci.yml' 2024-03-06 23:02:19,542 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/CONTRIBUTING.md' 2024-03-06 23:02:19,543 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/LICENSE' 2024-03-06 23:02:19,544 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile' 2024-03-06 23:02:19,545 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.common' 2024-03-06 23:02:19,546 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.tools' 2024-03-06 23:02:19,547 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/README.md' 2024-03-06 23:02:19,549 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/PULL_REQUEST_TEMPLATE.md' 2024-03-06 23:02:19,550 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/bug_report.md' 2024-03-06 23:02:19,551 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/config.yml' 2024-03-06 23:02:19,552 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/feature_request.md' 2024-03-06 23:02:19,553 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/informational.md' 2024-03-06 23:02:19,554 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/README.md' 2024-03-06 23:02:19,555 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_ctl_pkgdef.svh' 2024-03-06 23:02:19,556 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_defines.svh' 2024-03-06 23:02:19,557 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_pkgdef.svh' 2024-03-06 23:02:19,558 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_defines.svh' 2024-03-06 23:02:19,559 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_pkg.sv' 2024-03-06 23:02:19,560 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_top.sv' 2024-03-06 23:02:19,562 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv' 2024-03-06 23:02:19,563 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_csr.sv' 2024-03-06 23:02:19,564 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_fp_to_rec.sv' 2024-03-06 23:02:19,565 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_aux.sv' 2024-03-06 23:02:19,567 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_ctl.sv' 2024-03-06 23:02:19,568 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_fma.sv' 2024-03-06 23:02:19,569 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_int.sv' 2024-03-06 23:02:19,570 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_long.sv' 2024-03-06 23:02:19,571 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_mem.sv' 2024-03-06 23:02:19,572 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_sys.sv' 2024-03-06 23:02:19,573 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_ptw.sv' 2024-03-06 23:02:19,574 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_rec_to_fp.sv' 2024-03-06 23:02:19,575 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_cmd_queue.sv' 2024-03-06 23:02:19,577 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv' 2024-03-06 23:02:19,578 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_director.sv' 2024-03-06 23:02:19,579 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_instr_decoder.sv' 2024-03-06 23:02:19,580 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_issue_queue.sv' 2024-03-06 23:02:19,581 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_regfile.sv' 2024-03-06 23:02:19,582 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scheduler.sv' 2024-03-06 23:02:19,584 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scoreboard.sv' 2024-03-06 23:02:19,585 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv' 2024-03-06 23:02:19,587 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_decoder.sv' 2024-03-06 23:02:19,588 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_wbuf.sv' 2024-03-06 23:02:19,589 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/Makefile' 2024-03-06 23:02:19,590 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.vcs' 2024-03-06 23:02:19,591 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.verilator' 2024-03-06 23:02:19,592 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/flist.vcs' 2024-03-06 23:02:19,593 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.cfgs' 2024-03-06 23:02:19,594 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.dc' 2024-03-06 23:02:19,595 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.params' 2024-03-06 23:02:19,596 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.testlist' 2024-03-06 23:02:19,597 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.vcs' 2024-03-06 23:02:19,598 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.verilator' 2024-03-06 23:02:19,599 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/flist.vcs' 2024-03-06 23:02:19,600 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/test_bp.cpp' 2024-03-06 23:02:19,601 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/testbench.sv' 2024-03-06 23:02:19,602 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py' 2024-03-06 23:02:19,603 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py' 2024-03-06 23:02:19,604 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/wrapper.sv' 2024-03-06 23:02:19,606 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py' 2024-03-06 23:02:19,607 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py' 2024-03-06 23:02:19,609 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py' 2024-03-06 23:02:19,610 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_defines.svh' 2024-03-06 23:02:19,611 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_pkgdef.svh' 2024-03-06 23:02:19,612 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_defines.svh' 2024-03-06 23:02:19,614 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_pkgdef.svh' 2024-03-06 23:02:19,615 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_if.svh' 2024-03-06 23:02:19,616 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_pkgdef.svh' 2024-03-06 23:02:19,617 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_if.svh' 2024-03-06 23:02:19,618 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_pkgdef.svh' 2024-03-06 23:02:19,619 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_pkgdef.svh' 2024-03-06 23:02:19,620 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_defines.svh' 2024-03-06 23:02:19,621 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_pkgdef.svh' 2024-03-06 23:02:19,622 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_clint_pkgdef.svh' 2024-03-06 23:02:19,623 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_if.svh' 2024-03-06 23:02:19,625 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_pkgdef.svh' 2024-03-06 23:02:19,626 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_defines.svh' 2024-03-06 23:02:19,626 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_host_pkgdef.svh' 2024-03-06 23:02:19,627 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_log_defines.svh' 2024-03-06 23:02:19,628 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_pkg.sv' 2024-03-06 23:02:19,630 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_csr_defines.svh' 2024-03-06 23:02:19,631 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_instr_defines.svh' 2024-03-06 23:02:19,633 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_pkgdef.svh' 2024-03-06 23:02:19,634 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_mmu.sv' 2024-03-06 23:02:19,635 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_pma.sv' 2024-03-06 23:02:19,636 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_tlb.sv' 2024-03-06 23:02:19,637 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_async_noc_link.sv' 2024-03-06 23:02:19,638 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_bus_pack.sv' 2024-03-06 23:02:19,639 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_cache_dma_to_wormhole.v' 2024-03-06 23:02:19,640 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_dff_reset_half.v' 2024-03-06 23:02:19,641 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_fifo_1r1w_rolly.sv' 2024-03-06 23:02:19,642 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_parallel_in_serial_out_passthrough_dynamic.v' 2024-03-06 23:02:19,643 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_rom_param.v' 2024-03-06 23:02:19,644 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_serial_in_parallel_out_passthrough_dynamic.v' 2024-03-06 23:02:19,645 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_control.v' 2024-03-06 23:02:19,646 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_in.v' 2024-03-06 23:02:19,647 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_out.v' 2024-03-06 23:02:19,648 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_to_cache_dma_fanout.v' 2024-03-06 23:02:19,650 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.common' 2024-03-06 23:02:19,651 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.dc' 2024-03-06 23:02:19,651 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.surelog' 2024-03-06 23:02:19,652 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.sv2v' 2024-03-06 23:02:19,653 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vcs' 2024-03-06 23:02:19,654 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.verilator' 2024-03-06 23:02:19,655 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vivado' 2024-03-06 23:02:19,656 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/flist.vcs' 2024-03-06 23:02:19,658 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/dc_elab.tcl' 2024-03-06 23:02:19,659 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/vivado_synth.tcl' 2024-03-06 23:02:19,660 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1r1w_sync.v' 2024-03-06 23:02:19,661 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync.v' 2024-03-06 23:02:19,662 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_bit.v' 2024-03-06 23:02:19,663 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_byte.v' 2024-03-06 23:02:19,664 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_2r1w_sync.v' 2024-03-06 23:02:19,665 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_3r1w_sync.v' 2024-03-06 23:02:19,666 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/README.md' 2024-03-06 23:02:19,668 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_icache.svg' 2024-03-06 23:02:19,678 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_pcgen.svg' 2024-03-06 23:02:19,683 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_top.svg' 2024-03-06 23:02:19,687 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_decompress.svh' 2024-03-06 23:02:19,688 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_defines.svh' 2024-03-06 23:02:19,689 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_defines.svh' 2024-03-06 23:02:19,690 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_pkgdef.svh' 2024-03-06 23:02:19,691 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_pkg.sv' 2024-03-06 23:02:19,692 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_bht.sv' 2024-03-06 23:02:19,693 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_btb.sv' 2024-03-06 23:02:19,694 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_icache.sv' 2024-03-06 23:02:19,695 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_instr_scan.sv' 2024-03-06 23:02:19,696 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_pc_gen.sv' 2024-03-06 23:02:19,698 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_top.sv' 2024-03-06 23:02:19,699 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/Makefile' 2024-03-06 23:02:19,700 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.vcs' 2024-03-06 23:02:19,701 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.verilator' 2024-03-06 23:02:19,702 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/flist.vcs' 2024-03-06 23:02:19,703 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/test.lst' 2024-03-06 23:02:19,704 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.cfgs' 2024-03-06 23:02:19,705 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.dc' 2024-03-06 23:02:19,706 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.frag' 2024-03-06 23:02:19,707 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.params' 2024-03-06 23:02:19,708 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.testlist' 2024-03-06 23:02:19,709 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.vcs' 2024-03-06 23:02:19,710 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.verilator' 2024-03-06 23:02:19,711 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/flist.vcs' 2024-03-06 23:02:19,712 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/test_bp.cpp' 2024-03-06 23:02:19,713 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/testbench.sv' 2024-03-06 23:02:19,714 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py' 2024-03-06 23:02:19,715 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py' 2024-03-06 23:02:19,716 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/wrapper.sv' 2024-03-06 23:02:19,717 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/README.md' 2024-03-06 23:02:19,718 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py' 2024-03-06 23:02:19,719 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py' 2024-03-06 23:02:19,720 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py' 2024-03-06 23:02:19,721 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py' 2024-03-06 23:02:19,722 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py' 2024-03-06 23:02:19,723 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/build.sh' 2024-03-06 23:02:19,724 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/run.sh' 2024-03-06 23:02:19,725 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_axi_pkgdef.sv' 2024-03-06 23:02:19,726 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cache_defines.svh' 2024-03-06 23:02:19,727 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_defines.svh' 2024-03-06 23:02:19,728 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_defines.svh' 2024-03-06 23:02:19,729 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_pkgdef.svh' 2024-03-06 23:02:19,731 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_defines.svh' 2024-03-06 23:02:19,732 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_pkg.sv' 2024-03-06 23:02:19,733 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_wormhole_defines.svh' 2024-03-06 23:02:19,734 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache/bp_me_cce_to_cache.sv' 2024-03-06 23:02:19,735 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce.sv' 2024-03-06 23:02:19,737 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_alu.sv' 2024-03-06 23:02:19,738 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_arbitrate.sv' 2024-03-06 23:02:19,739 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_branch.sv' 2024-03-06 23:02:19,740 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir.sv' 2024-03-06 23:02:19,741 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_lru_extract.sv' 2024-03-06 23:02:19,742 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_segment.sv' 2024-03-06 23:02:19,743 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_tag_checker.sv' 2024-03-06 23:02:19,744 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm.sv' 2024-03-06 23:02:19,746 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm_top.sv' 2024-03-06 23:02:19,747 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_gad.sv' 2024-03-06 23:02:19,748 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_decode.sv' 2024-03-06 23:02:19,750 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_predecode.sv' 2024-03-06 23:02:19,751 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_ram.sv' 2024-03-06 23:02:19,752 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_stall.sv' 2024-03-06 23:02:19,753 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_msg.sv' 2024-03-06 23:02:19,755 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pending_bits.sv' 2024-03-06 23:02:19,756 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pma.sv' 2024-03-06 23:02:19,757 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_reg.sv' 2024-03-06 23:02:19,758 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_spec_bits.sv' 2024-03-06 23:02:19,759 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_src_sel.sv' 2024-03-06 23:02:19,760 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_wrapper.sv' 2024-03-06 23:02:19,761 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_io_cce.sv' 2024-03-06 23:02:19,762 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_uce.sv' 2024-03-06 23:02:19,764 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_bedrock_register.sv' 2024-03-06 23:02:19,765 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_cfg.sv' 2024-03-06 23:02:19,766 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_clint_slice.sv' 2024-03-06 23:02:19,767 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_loopback.sv' 2024-03-06 23:02:19,768 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce.sv' 2024-03-06 23:02:19,769 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_cmd.sv' 2024-03-06 23:02:19,771 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_req.sv' 2024-03-06 23:02:19,772 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_fifo.sv' 2024-03-06 23:02:19,773 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_lite_to_bp_lite_client.sv' 2024-03-06 23:02:19,774 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/bp_lite_to_axi_lite_master.sv' 2024-03-06 23:02:19,776 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_burst_to_lite.sv' 2024-03-06 23:02:19,777 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_burst.sv' 2024-03-06 23:02:19,778 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_stream.sv' 2024-03-06 23:02:19,779 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_addr_to_cce_id.sv' 2024-03-06 23:02:19,780 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_id_to_cord.sv' 2024-03-06 23:02:19,781 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_bidir.sv' 2024-03-06 23:02:19,782 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_client.sv' 2024-03-06 23:02:19,783 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_master.sv' 2024-03-06 23:02:19,784 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cord_to_id.sv' 2024-03-06 23:02:19,785 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_lce_id_to_cord.sv' 2024-03-06 23:02:19,786 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_cmd.sv' 2024-03-06 23:02:19,787 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_req.sv' 2024-03-06 23:02:19,788 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_resp.sv' 2024-03-06 23:02:19,789 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_cmd.sv' 2024-03-06 23:02:19,790 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_resp.sv' 2024-03-06 23:02:19,791 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_stream_to_lite.sv' 2024-03-06 23:02:19,792 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/Makefile' 2024-03-06 23:02:19,793 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.vcs' 2024-03-06 23:02:19,794 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.verilator' 2024-03-06 23:02:19,795 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/flist.vcs' 2024-03-06 23:02:19,796 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_dir_nonsynth_tracer.sv' 2024-03-06 23:02:19,797 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_mmio_cfg_loader.sv' 2024-03-06 23:02:19,798 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_ddr.sv' 2024-03-06 23:02:19,799 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_dir_tracer.sv' 2024-03-06 23:02:19,800 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_tracer.sv' 2024-03-06 23:02:19,801 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tr_tracer.sv' 2024-03-06 23:02:19,802 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tracer.sv' 2024-03-06 23:02:19,803 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce.sv' 2024-03-06 23:02:19,805 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce_tag_lookup.sv' 2024-03-06 23:02:19,806 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_pkg.sv' 2024-03-06 23:02:19,807 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_mem_nonsynth_tracer.sv' 2024-03-06 23:02:19,808 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_dram.sv' 2024-03-06 23:02:19,809 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_mem.sv' 2024-03-06 23:02:19,810 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bsg_trace_node_master.sv' 2024-03-06 23:02:19,811 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/Makefile' 2024-03-06 23:02:19,813 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/README.md' 2024-03-06 23:02:19,814 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/mesi.m' 2024-03-06 23:02:19,815 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/moesi.m' 2024-03-06 23:02:19,816 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/msi.m' 2024-03-06 23:02:19,818 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/README.md' 2024-03-06 23:02:19,819 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/mesi.m' 2024-03-06 23:02:19,820 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.cfgs' 2024-03-06 23:02:19,821 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.dc' 2024-03-06 23:02:19,822 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.params' 2024-03-06 23:02:19,823 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.testlist' 2024-03-06 23:02:19,824 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.vcs' 2024-03-06 23:02:19,825 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.verilator' 2024-03-06 23:02:19,826 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py' 2024-03-06 23:02:19,827 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/flist.vcs' 2024-03-06 23:02:19,828 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_bp.cpp' 2024-03-06 23:02:19,829 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py' 2024-03-06 23:02:19,830 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py' 2024-03-06 23:02:19,831 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/testbench.sv' 2024-03-06 23:02:19,832 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/wrapper.sv' 2024-03-06 23:02:19,834 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py' 2024-03-06 23:02:19,835 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_defines.svh' 2024-03-06 23:02:19,836 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_pkg.sv' 2024-03-06 23:02:19,837 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_complex.sv' 2024-03-06 23:02:19,838 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile.sv' 2024-03-06 23:02:19,840 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile_node.sv' 2024-03-06 23:02:19,841 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_vdp.sv' 2024-03-06 23:02:19,842 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core.sv' 2024-03-06 23:02:19,843 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_complex.sv' 2024-03-06 23:02:19,844 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_minimal.sv' 2024-03-06 23:02:19,845 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_complex.sv' 2024-03-06 23:02:19,846 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_link_to_lce.sv' 2024-03-06 23:02:19,847 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile.sv' 2024-03-06 23:02:19,848 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile_node.sv' 2024-03-06 23:02:19,849 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile.sv' 2024-03-06 23:02:19,850 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile_node.sv' 2024-03-06 23:02:19,851 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_mem_complex.sv' 2024-03-06 23:02:19,852 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_multicore.sv' 2024-03-06 23:02:19,853 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_nd_socket.sv' 2024-03-06 23:02:19,854 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_complex.sv' 2024-03-06 23:02:19,855 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile.sv' 2024-03-06 23:02:19,856 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile_node.sv' 2024-03-06 23:02:19,857 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_vdp.sv' 2024-03-06 23:02:19,859 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile.sv' 2024-03-06 23:02:19,860 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile_node.sv' 2024-03-06 23:02:19,861 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore.sv' 2024-03-06 23:02:19,862 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore_lite.sv' 2024-03-06 23:02:19,864 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/Makefile' 2024-03-06 23:02:19,864 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.vcs' 2024-03-06 23:02:19,865 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.verilator' 2024-03-06 23:02:19,866 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/flist.vcs' 2024-03-06 23:02:19,868 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/lint_settings.verilator' 2024-03-06 23:02:19,869 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_monitor.cpp' 2024-03-06 23:02:19,870 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_branch_profiler.sv' 2024-03-06 23:02:19,871 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cache_tracer.sv' 2024-03-06 23:02:19,872 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_core_profiler.sv' 2024-03-06 23:02:19,873 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cosim.sv' 2024-03-06 23:02:19,874 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_host.sv' 2024-03-06 23:02:19,875 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_if_verif.sv' 2024-03-06 23:02:19,876 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_nbf_loader.sv' 2024-03-06 23:02:19,877 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_pc_profiler.sv' 2024-03-06 23:02:19,878 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_perf.sv' 2024-03-06 23:02:19,879 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_vm_tracer.sv' 2024-03-06 23:02:19,880 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_watchdog.sv' 2024-03-06 23:02:19,881 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/dromajo_cosim.cpp' 2024-03-06 23:02:19,883 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.cfgs' 2024-03-06 23:02:19,884 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.dc' 2024-03-06 23:02:19,885 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.params' 2024-03-06 23:02:19,886 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.surelog' 2024-03-06 23:02:19,887 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.sv2v' 2024-03-06 23:02:19,888 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.testlist' 2024-03-06 23:02:19,889 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vcs' 2024-03-06 23:02:19,890 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.verilator' 2024-03-06 23:02:19,891 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vivado' 2024-03-06 23:02:19,892 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/design.xdc' 2024-03-06 23:02:19,893 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/flist.vcs' 2024-03-06 23:02:19,894 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.dump' 2024-03-06 23:02:19,895 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.mem' 2024-03-06 23:02:19,896 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.riscv' 2024-03-06 23:02:19,897 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/test_bp.cpp' 2024-03-06 23:02:19,898 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/testbench.sv' 2024-03-06 23:02:19,899 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/wrapper.sv' 2024-03-06 23:02:19,901 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bloodgraph.sh' 2024-03-06 23:02:19,902 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bootrom.sh' 2024-03-06 23:02:19,903 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_design.sh' 2024-03-06 23:02:19,904 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_loops.sh' 2024-03-06 23:02:19,904 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/checkpoint.sh' 2024-03-06 23:02:19,905 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dcache_regress.sh' 2024-03-06 23:02:19,906 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dram.sh' 2024-03-06 23:02:19,907 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/example_job.sh' 2024-03-06 23:02:19,908 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/icache_regress.sh' 2024-03-06 23:02:19,909 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/l2e_config.sh' 2024-03-06 23:02:19,910 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/lint.sh' 2024-03-06 23:02:19,911 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/linux.sh' 2024-03-06 23:02:19,913 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/me_regress.sh' 2024-03-06 23:02:19,914 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/multicore.sh' 2024-03-06 23:02:19,915 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_atomics.sh' 2024-03-06 23:02:19,916 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_testlist.sh' 2024-03-06 23:02:19,917 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/surelog.sh' 2024-03-06 23:02:19,918 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/sv2v.sh' 2024-03-06 23:02:19,919 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/synth_vivado.sh' 2024-03-06 23:02:19,919 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/tire_kick.sh' 2024-03-06 23:02:19,920 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/weird_config.sh' 2024-03-06 23:02:19,922 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/backend_guide.md' 2024-03-06 23:02:19,923 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock.png' 2024-03-06 23:02:19,924 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_guide.md' 2024-03-06 23:02:19,925 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_microcode_table.pdf' 2024-03-06 23:02:19,927 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_uarch_guide.md' 2024-03-06 23:02:19,928 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bp_logo.png' 2024-03-06 23:02:19,932 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/cce_micro.png' 2024-03-06 23:02:19,935 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/core_micro.png' 2024-03-06 23:02:19,943 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_boot.md' 2024-03-06 23:02:19,944 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cache.png' 2024-03-06 23:02:19,950 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cfgbus.png' 2024-03-06 23:02:19,955 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_dma.png' 2024-03-06 23:02:19,960 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_freeze.png' 2024-03-06 23:02:19,966 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_io.png' 2024-03-06 23:02:19,972 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_rf.png' 2024-03-06 23:02:19,978 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/eval_guide.md' 2024-03-06 23:02:19,979 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/interface_specification.md' 2024-03-06 23:02:19,981 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_bug.png' 2024-03-06 23:02:19,988 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_feature.png' 2024-03-06 23:02:19,992 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_info.png' 2024-03-06 23:02:19,996 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/microarchitecture_guide.md' 2024-03-06 23:02:19,997 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/platform_guide.md' 2024-03-06 23:02:19,998 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/style_guide.md' 2024-03-06 23:02:19,999 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/testbench_guide.md' 2024-03-06 23:02:20,002 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/tile_taxonomy.png' 2024-03-06 23:02:20,015 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/COPYING.txt' 2024-03-06 23:02:20,016 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.html' 2024-03-06 23:02:20,017 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.md' 2024-03-06 23:02:20,018 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.txt' 2024-03-06 23:02:20,019 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-Verilog.html' 2024-03-06 23:02:20,022 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilator.html' 2024-03-06 23:02:20,023 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilog.html' 2024-03-06 23:02:20,025 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/fma.svg' 2024-03-06 23:02:20,026 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_consts.vi' 2024-03-06 23:02:20,027 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_localFuncs.vi' 2024-03-06 23:02:20,028 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_primitives.v' 2024-03-06 23:02:20,029 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v' 2024-03-06 23:02:20,031 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/addRecFN.v' 2024-03-06 23:02:20,032 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/bsg_hardfloat_pkg.v' 2024-03-06 23:02:20,033 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/compareRecFN.v' 2024-03-06 23:02:20,034 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/divSqrtRecFN_small.v' 2024-03-06 23:02:20,035 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/fNToRecFN.v' 2024-03-06 23:02:20,036 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/iNToRecFN.v' 2024-03-06 23:02:20,037 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/isSigNaNRecFN.v' 2024-03-06 23:02:20,038 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulAddRecFN.v' 2024-03-06 23:02:20,039 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulRecFN.v' 2024-03-06 23:02:20,040 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToFN.v' 2024-03-06 23:02:20,041 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToIN.v' 2024-03-06 23:02:20,042 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToRecFN.v' 2024-03-06 23:02:20,044 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.v' 2024-03-06 23:02:20,045 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.vi' 2024-03-06 23:02:20,046 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.v' 2024-03-06 23:02:20,047 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.vi' 2024-03-06 23:02:20,048 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.v' 2024-03-06 23:02:20,049 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.vi' 2024-03-06 23:02:20,050 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/addRecFN_spec.v' 2024-03-06 23:02:20,051 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/compareRecFN_spec.v' 2024-03-06 23:02:20,052 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/divSqrtRecFN_small_spec.v' 2024-03-06 23:02:20,053 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/fNToRecFN_spec.v' 2024-03-06 23:02:20,054 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/finish_fail-IcarusVerilog.vi' 2024-03-06 23:02:20,055 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/iNToRecFN_spec.v' 2024-03-06 23:02:20,056 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulAddRecFN_spec.v' 2024-03-06 23:02:20,057 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulRecFN_spec.v' 2024-03-06 23:02:20,058 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToFN_spec.v' 2024-03-06 23:02:20,059 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToIN_spec.v' 2024-03-06 23:02:20,060 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToRecFN_spec.v' 2024-03-06 23:02:20,061 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/sameRecFN.v' 2024-03-06 23:02:20,062 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_add.v' 2024-03-06 23:02:20,064 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_sub.v' 2024-03-06 23:02:20,065 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_compareRecFN.v' 2024-03-06 23:02:20,066 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_div.v' 2024-03-06 23:02:20,067 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_sqrt.v' 2024-03-06 23:02:20,068 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_iNToRecFN.v' 2024-03-06 23:02:20,069 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN.v' 2024-03-06 23:02:20,071 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_add.v' 2024-03-06 23:02:20,072 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_mul.v' 2024-03-06 23:02:20,073 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulRecFN.v' 2024-03-06 23:02:20,074 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToFN.v' 2024-03-06 23:02:20,075 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToIN.v' 2024-03-06 23:02:20,076 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToRecFN.v' 2024-03-06 23:02:20,078 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.c' 2024-03-06 23:02:20,079 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.h' 2024-03-06 23:02:20,080 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF128.cpp' 2024-03-06 23:02:20,081 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF16.cpp' 2024-03-06 23:02:20,082 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF32.cpp' 2024-03-06 23:02:20,083 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF64.cpp' 2024-03-06 23:02:20,085 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF128.cpp' 2024-03-06 23:02:20,086 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF16.cpp' 2024-03-06 23:02:20,087 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF32.cpp' 2024-03-06 23:02:20,088 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF64.cpp' 2024-03-06 23:02:20,089 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_div.cpp' 2024-03-06 23:02:20,090 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_sqrt.cpp' 2024-03-06 23:02:20,091 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_div.cpp' 2024-03-06 23:02:20,092 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_sqrt.cpp' 2024-03-06 23:02:20,093 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_div.cpp' 2024-03-06 23:02:20,095 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_sqrt.cpp' 2024-03-06 23:02:20,096 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_div.cpp' 2024-03-06 23:02:20,097 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_sqrt.cpp' 2024-03-06 23:02:20,098 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f128ToRecF128.cpp' 2024-03-06 23:02:20,099 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f16ToRecF16.cpp' 2024-03-06 23:02:20,100 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f32ToRecF32.cpp' 2024-03-06 23:02:20,101 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f64ToRecF64.cpp' 2024-03-06 23:02:20,102 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF128.cpp' 2024-03-06 23:02:20,103 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF16.cpp' 2024-03-06 23:02:20,104 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF32.cpp' 2024-03-06 23:02:20,105 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF64.cpp' 2024-03-06 23:02:20,106 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF128.cpp' 2024-03-06 23:02:20,107 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF16.cpp' 2024-03-06 23:02:20,108 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF32.cpp' 2024-03-06 23:02:20,110 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF64.cpp' 2024-03-06 23:02:20,111 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF128.cpp' 2024-03-06 23:02:20,112 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF16.cpp' 2024-03-06 23:02:20,113 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF32.cpp' 2024-03-06 23:02:20,114 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF64.cpp' 2024-03-06 23:02:20,115 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToF128.cpp' 2024-03-06 23:02:20,116 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI32.cpp' 2024-03-06 23:02:20,117 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI64.cpp' 2024-03-06 23:02:20,118 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF16.cpp' 2024-03-06 23:02:20,119 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF32.cpp' 2024-03-06 23:02:20,120 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF64.cpp' 2024-03-06 23:02:20,121 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi32.cpp' 2024-03-06 23:02:20,122 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi64.cpp' 2024-03-06 23:02:20,124 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToF16.cpp' 2024-03-06 23:02:20,125 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI32.cpp' 2024-03-06 23:02:20,126 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI64.cpp' 2024-03-06 23:02:20,127 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF128.cpp' 2024-03-06 23:02:20,128 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF32.cpp' 2024-03-06 23:02:20,129 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF64.cpp' 2024-03-06 23:02:20,130 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi32.cpp' 2024-03-06 23:02:20,131 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi64.cpp' 2024-03-06 23:02:20,132 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToF32.cpp' 2024-03-06 23:02:20,133 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI32.cpp' 2024-03-06 23:02:20,134 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI64.cpp' 2024-03-06 23:02:20,135 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF128.cpp' 2024-03-06 23:02:20,136 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF16.cpp' 2024-03-06 23:02:20,137 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF64.cpp' 2024-03-06 23:02:20,138 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi32.cpp' 2024-03-06 23:02:20,139 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi64.cpp' 2024-03-06 23:02:20,140 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToF64.cpp' 2024-03-06 23:02:20,141 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI32.cpp' 2024-03-06 23:02:20,143 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI64.cpp' 2024-03-06 23:02:20,144 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF128.cpp' 2024-03-06 23:02:20,145 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF16.cpp' 2024-03-06 23:02:20,146 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF32.cpp' 2024-03-06 23:02:20,147 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi32.cpp' 2024-03-06 23:02:20,148 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi64.cpp' 2024-03-06 23:02:20,149 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF128.cpp' 2024-03-06 23:02:20,150 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF16.cpp' 2024-03-06 23:02:20,151 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF32.cpp' 2024-03-06 23:02:20,152 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF64.cpp' 2024-03-06 23:02:20,153 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF128.cpp' 2024-03-06 23:02:20,154 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF16.cpp' 2024-03-06 23:02:20,155 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF32.cpp' 2024-03-06 23:02:20,156 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF64.cpp' 2024-03-06 23:02:20,158 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitignore' 2024-03-06 23:02:20,158 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitmodules' 2024-03-06 23:02:20,159 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/LICENSE' 2024-03-06 23:02:20,160 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README.md' 2024-03-06 23:02:20,161 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README_contributing' 2024-03-06 23:02:20,162 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_credit_counter.v' 2024-03-06 23:02:20,164 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_fifo.v' 2024-03-06 23:02:20,165 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_ptr_gray.v' 2024-03-06 23:02:20,166 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_launch_sync_sync.v' 2024-03-06 23:02:20,167 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_sync_sync.v' 2024-03-06 23:02:20,168 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.v' 2024-03-06 23:02:20,170 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.vh' 2024-03-06 23:02:20,171 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_decode.v' 2024-03-06 23:02:20,172 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_dma.v' 2024-03-06 23:02:20,173 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v' 2024-03-06 23:02:20,174 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking.v' 2024-03-06 23:02:20,175 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_data_mem.v' 2024-03-06 23:02:20,176 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_decode.v' 2024-03-06 23:02:20,177 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_dma.v' 2024-03-06 23:02:20,179 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_mhu.v' 2024-03-06 23:02:20,180 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_miss_fifo.v' 2024-03-06 23:02:20,181 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_pkg.v' 2024-03-06 23:02:20,182 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_stat_mem.v' 2024-03-06 23:02:20,183 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tag_mem.v' 2024-03-06 23:02:20,184 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tl_stage.v' 2024-03-06 23:02:20,185 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_pkg.v' 2024-03-06 23:02:20,186 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf.v' 2024-03-06 23:02:20,187 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v' 2024-03-06 23:02:20,188 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi.v' 2024-03-06 23:02:20,189 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_rx.v' 2024-03-06 23:02:20,190 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_tx.v' 2024-03-06 23:02:20,191 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl.v' 2024-03-06 23:02:20,192 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_rx.v' 2024-03-06 23:02:20,193 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_tx.v' 2024-03-06 23:02:20,194 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram.v' 2024-03-06 23:02:20,195 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx.v' 2024-03-06 23:02:20,196 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx_reorder.v' 2024-03-06 23:02:20,197 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_tx.v' 2024-03-06 23:02:20,198 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_nonsynth_cache_axe_tracer.v' 2024-03-06 23:02:20,200 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_nonsynth_mixin_motherboard.v' 2024-03-06 23:02:20,201 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/README' 2024-03-06 23:02:20,202 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_chip_rocket.v' 2024-03-06 23:02:20,203 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_nonsynth_chipset_rocket_fsb.v' 2024-03-06 23:02:20,204 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_rocket_core_fsb.v' 2024-03-06 23:02:20,205 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.v' 2024-03-06 23:02:20,206 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.vh' 2024-03-06 23:02:20,207 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen_osc.v' 2024-03-06 23:02:20,208 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dly_line.v' 2024-03-06 23:02:20,209 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dram_clk_gen.v' 2024-03-06 23:02:20,210 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_edge_balanced_mux4.v' 2024-03-06 23:02:20,211 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_nonsynth_clk_watcher.v' 2024-03-06 23:02:20,212 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/README.md' 2024-03-06 23:02:20,213 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_in.v' 2024-03-06 23:02:20,214 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_out.v' 2024-03-06 23:02:20,215 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.v' 2024-03-06 23:02:20,217 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.vh' 2024-03-06 23:02:20,218 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master.v' 2024-03-06 23:02:20,219 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master_master.v' 2024-03-06 23:02:20,220 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_slave.v' 2024-03-06 23:02:20,221 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_input.v' 2024-03-06 23:02:20,222 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_output.v' 2024-03-06 23:02:20,224 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/test_bsg_comm_link_checker.v' 2024-03-06 23:02:20,225 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/README' 2024-03-06 23:02:20,226 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.trace.in' 2024-03-06 23:02:20,227 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.v' 2024-03-06 23:02:20,228 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master.v' 2024-03-06 23:02:20,229 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master_master.v' 2024-03-06 23:02:20,230 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/README' 2024-03-06 23:02:20,231 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler/test_bsg_assembler.v' 2024-03-06 23:02:20,233 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link/test_bsg_comm_link.v' 2024-03-06 23:02:20,234 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/Makefile' 2024-03-06 23:02:20,235 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/test_bsg_source_sync.v' 2024-03-06 23:02:20,237 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged.v' 2024-03-06 23:02:20,237 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo.v' 2024-03-06 23:02:20,239 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo_shared.v' 2024-03-06 23:02:20,240 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_decode_comb.v' 2024-03-06 23:02:20,241 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_encode_comb.v' 2024-03-06 23:02:20,242 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_shift_decoder.v' 2024-03-06 23:02:20,243 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_narrow.v' 2024-03-06 23:02:20,244 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel.v' 2024-03-06 23:02:20,245 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_in.v' 2024-03-06 23:02:20,246 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_out.v' 2024-03-06 23:02:20,247 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_wormhole.v' 2024-03-06 23:02:20,248 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_compare_and_swap.v' 2024-03-06 23:02:20,249 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_credit_to_token.v' 2024-03-06 23:02:20,250 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large.v' 2024-03-06 23:02:20,251 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large_banked.v' 2024-03-06 23:02:20,252 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_narrowed.v' 2024-03-06 23:02:20,253 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_pseudo_large.v' 2024-03-06 23:02:20,254 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v' 2024-03-06 23:02:20,255 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_credit_on_input.v' 2024-03-06 23:02:20,256 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_hardened.v' 2024-03-06 23:02:20,257 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v' 2024-03-06 23:02:20,258 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1rw_large.v' 2024-03-06 23:02:20,259 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_bypass.v' 2024-03-06 23:02:20,260 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_reorder.v' 2024-03-06 23:02:20,261 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_shift_datapath.v' 2024-03-06 23:02:20,262 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_tracker.v' 2024-03-06 23:02:20,263 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flatten_2D_array.v' 2024-03-06 23:02:20,264 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_convert.v' 2024-03-06 23:02:20,265 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_counter.v' 2024-03-06 23:02:20,266 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_make_2D_array.v' 2024-03-06 23:02:20,267 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_one_fifo.v' 2024-03-06 23:02:20,268 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out.v' 2024-03-06 23:02:20,269 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_dynamic.v' 2024-03-06 23:02:20,270 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_passthrough.v' 2024-03-06 23:02:20,271 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_permute_box.v' 2024-03-06 23:02:20,272 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_ready_to_credit_flow_converter.v' 2024-03-06 23:02:20,273 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_relay_fifo.v' 2024-03-06 23:02:20,274 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_1_to_n.v' 2024-03-06 23:02:20,275 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_2_to_2.v' 2024-03-06 23:02:20,276 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_fifo_to_fifo.v' 2024-03-06 23:02:20,277 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_n_to_1.v' 2024-03-06 23:02:20,278 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sbox.v' 2024-03-06 23:02:20,279 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py' 2024-03-06 23:02:20,284 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.v' 2024-03-06 23:02:20,310 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out.v' 2024-03-06 23:02:20,311 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_dynamic.v' 2024-03-06 23:02:20,312 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_full.v' 2024-03-06 23:02:20,313 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_passthrough.v' 2024-03-06 23:02:20,314 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_shift_reg.v' 2024-03-06 23:02:20,315 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_4.v' 2024-03-06 23:02:20,316 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_stable.v' 2024-03-06 23:02:20,317 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_buncher.v' 2024-03-06 23:02:20,318 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_fifo.v' 2024-03-06 23:02:20,319 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/README.md' 2024-03-06 23:02:20,320 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc.v' 2024-03-06 23:02:20,321 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_clk_rst_gen.v' 2024-03-06 23:02:20,322 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_controller.v' 2024-03-06 23:02:20,324 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_phy.v' 2024-03-06 23:02:20,325 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_pkg.v' 2024-03-06 23:02:20,326 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/README.md' 2024-03-06 23:02:20,327 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_add_sub.v' 2024-03-06 23:02:20,328 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_classify.v' 2024-03-06 23:02:20,329 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_clz.v' 2024-03-06 23:02:20,330 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_cmp.v' 2024-03-06 23:02:20,331 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_defines.vh' 2024-03-06 23:02:20,332 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_f2i.v' 2024-03-06 23:02:20,333 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_i2f.v' 2024-03-06 23:02:20,334 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_mul.v' 2024-03-06 23:02:20,335 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_preprocess.v' 2024-03-06 23:02:20,336 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_sticky.v' 2024-03-06 23:02:20,337 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in.v' 2024-03-06 23:02:20,338 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in_no_fc.v' 2024-03-06 23:02:20,339 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_out.v' 2024-03-06 23:02:20,340 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb.v' 2024-03-06 23:02:20,341 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_murn_gateway.v' 2024-03-06 23:02:20,342 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_async_buffer.v' 2024-03-06 23:02:20,344 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_fsb_domain.v' 2024-03-06 23:02:20,344 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_node_domain.v' 2024-03-06 23:02:20,346 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v' 2024-03-06 23:02:20,347 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_pkg.v' 2024-03-06 23:02:20,348 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_nonsynth_fsb_node_trace_replay.v' 2024-03-06 23:02:20,349 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy/bsg_murn_converter.v' 2024-03-06 23:02:20,350 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_downstream.v' 2024-03-06 23:02:20,351 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_upstream.v' 2024-03-06 23:02:20,352 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_iddr_phy.v' 2024-03-06 23:02:20,353 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_isdr_phy.v' 2024-03-06 23:02:20,354 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_oddr_phy.v' 2024-03-06 23:02:20,355 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy.v' 2024-03-06 23:02:20,356 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy_phase_align.v' 2024-03-06 23:02:20,357 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr.v' 2024-03-06 23:02:20,358 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_downstream.v' 2024-03-06 23:02:20,359 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_upstream.v' 2024-03-06 23:02:20,360 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_downstream.v' 2024-03-06 23:02:20,361 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream.v' 2024-03-06 23:02:20,362 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream_sync.v' 2024-03-06 23:02:20,364 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/Makefile' 2024-03-06 23:02:20,365 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/bsg_hypotenuse.v' 2024-03-06 23:02:20,366 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/test_bsg_hypotenuse.v' 2024-03-06 23:02:20,367 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/Makefile' 2024-03-06 23:02:20,368 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/README' 2024-03-06 23:02:20,369 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/sqrt.c' 2024-03-06 23:02:20,370 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/README' 2024-03-06 23:02:20,371 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py' 2024-03-06 23:02:20,372 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w.v' 2024-03-06 23:02:20,373 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_replacement.v' 2024-03-06 23:02:20,374 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync.v' 2024-03-06 23:02:20,375 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync_unmanaged.v' 2024-03-06 23:02:20,379 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_tag_array.v' 2024-03-06 23:02:20,380 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_unmanaged.v' 2024-03-06 23:02:20,381 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w.v' 2024-03-06 23:02:20,382 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_one_hot.v' 2024-03-06 23:02:20,383 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync.v' 2024-03-06 23:02:20,384 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v' 2024-03-06 23:02:20,385 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit_synth.v' 2024-03-06 23:02:20,386 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_var.v' 2024-03-06 23:02:20,387 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_synth.v' 2024-03-06 23:02:20,388 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v' 2024-03-06 23:02:20,389 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync.v' 2024-03-06 23:02:20,390 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_banked.v' 2024-03-06 23:02:20,391 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-03-06 23:02:20,392 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_banked.v' 2024-03-06 23:02:20,393 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v' 2024-03-06 23:02:20,394 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-03-06 23:02:20,395 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_banked.v' 2024-03-06 23:02:20,396 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v' 2024-03-06 23:02:20,397 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_var.v' 2024-03-06 23:02:20,398 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v' 2024-03-06 23:02:20,399 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w.v' 2024-03-06 23:02:20,400 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync.v' 2024-03-06 23:02:20,401 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync_synth.v' 2024-03-06 23:02:20,402 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_synth.v' 2024-03-06 23:02:20,403 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w.v' 2024-03-06 23:02:20,404 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync.v' 2024-03-06 23:02:20,405 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync_synth.v' 2024-03-06 23:02:20,406 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_synth.v' 2024-03-06 23:02:20,407 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_banked_crossbar.v' 2024-03-06 23:02:20,408 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.cpp' 2024-03-06 23:02:20,409 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.hpp' 2024-03-06 23:02:20,410 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_multiport.v' 2024-03-06 23:02:20,411 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_dma.v' 2024-03-06 23:02:20,412 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_mask_write_byte_dma.v' 2024-03-06 23:02:20,413 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_assoc.v' 2024-03-06 23:02:20,414 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_assoc.v' 2024-03-06 23:02:20,415 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma.v' 2024-03-06 23:02:20,416 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim/Makefile' 2024-03-06 23:02:20,417 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_ddr_sampler.v' 2024-03-06 23:02:20,418 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_logic_analyzer.v' 2024-03-06 23:02:20,419 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_core.v' 2024-03-06 23:02:20,420 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_input.v' 2024-03-06 23:02:20,421 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_link.v' 2024-03-06 23:02:20,423 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_output.v' 2024-03-06 23:02:20,424 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/config_defs.v' 2024-03-06 23:02:20,425 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/definitions.v' 2024-03-06 23:02:20,426 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/Makefile' 2024-03-06 23:02:20,427 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.constraints.tcl' 2024-03-06 23:02:20,428 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.dc.read_design.tcl' 2024-03-06 23:02:20,429 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/common_setup.tcl' 2024-03-06 23:02:20,430 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc.tcl' 2024-03-06 23:02:20,431 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup.tcl' 2024-03-06 23:02:20,432 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup_filenames.tcl' 2024-03-06 23:02:20,434 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb.v' 2024-03-06 23:02:20,435 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb_gate_level.v' 2024-03-06 23:02:20,437 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_abs.v' 2024-03-06 23:02:20,438 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_cin.v' 2024-03-06 23:02:20,439 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_one_hot.v' 2024-03-06 23:02:20,440 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_ripple_carry.v' 2024-03-06 23:02:20,441 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_and.v' 2024-03-06 23:02:20,441 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_fixed.v' 2024-03-06 23:02:20,442 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_round_robin.v' 2024-03-06 23:02:20,443 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v' 2024-03-06 23:02:20,444 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_reverse.v' 2024-03-06 23:02:20,445 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_binary_plus_one_to_gray.v' 2024-03-06 23:02:20,446 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf.v' 2024-03-06 23:02:20,447 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf_ctrl.v' 2024-03-06 23:02:20,448 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v' 2024-03-06 23:02:20,449 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkbuf.v' 2024-03-06 23:02:20,450 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkgate_optional.v' 2024-03-06 23:02:20,451 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_concentrate_static.v' 2024-03-06 23:02:20,452 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up.v' 2024-03-06 23:02:20,453 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up_one_hot.v' 2024-03-06 23:02:20,454 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clock_downsample.v' 2024-03-06 23:02:20,455 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit.v' 2024-03-06 23:02:20,456 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit_en.v' 2024-03-06 23:02:20,457 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_en.v' 2024-03-06 23:02:20,458 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_set_en.v' 2024-03-06 23:02:20,459 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_down.v' 2024-03-06 23:02:20,460 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_en.v' 2024-03-06 23:02:20,461 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down.v' 2024-03-06 23:02:20,462 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down_variable.v' 2024-03-06 23:02:20,462 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counting_leading_zeros.v' 2024-03-06 23:02:20,463 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_control_basic_o_by_i.v' 2024-03-06 23:02:20,464 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_o_by_i.v' 2024-03-06 23:02:20,465 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_cycle_counter.v' 2024-03-06 23:02:20,466 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode.v' 2024-03-06 23:02:20,467 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode_with_v.v' 2024-03-06 23:02:20,468 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_defines.v' 2024-03-06 23:02:20,469 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff.v' 2024-03-06 23:02:20,470 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_async_reset.v' 2024-03-06 23:02:20,471 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_chain.v' 2024-03-06 23:02:20,472 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en.v' 2024-03-06 23:02:20,473 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en_bypass.v' 2024-03-06 23:02:20,474 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_gatestack.v' 2024-03-06 23:02:20,475 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_negedge_reset.v' 2024-03-06 23:02:20,476 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset.v' 2024-03-06 23:02:20,477 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en.v' 2024-03-06 23:02:20,478 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en_bypass.v' 2024-03-06 23:02:20,479 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_set_clear.v' 2024-03-06 23:02:20,480 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dlatch.v' 2024-03-06 23:02:20,481 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_edge_detect.v' 2024-03-06 23:02:20,482 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_encode_one_hot.v' 2024-03-06 23:02:20,483 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_expand_bitmask.v' 2024-03-06 23:02:20,484 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_gray_to_binary.v' 2024-03-06 23:02:20,485 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank.v' 2024-03-06 23:02:20,486 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank_reverse.v' 2024-03-06 23:02:20,487 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_id_pool.v' 2024-03-06 23:02:20,488 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative.v' 2024-03-06 23:02:20,489 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative_controller.v' 2024-03-06 23:02:20,490 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_imul_iterative.v' 2024-03-06 23:02:20,491 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_inv.v' 2024-03-06 23:02:20,492 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_less_than.v' 2024-03-06 23:02:20,493 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_sink.v' 2024-03-06 23:02:20,494 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_source.v' 2024-03-06 23:02:20,495 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lfsr.v' 2024-03-06 23:02:20,496 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_locking_arb_fixed.v' 2024-03-06 23:02:20,497 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v' 2024-03-06 23:02:20,498 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v' 2024-03-06 23:02:20,499 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v' 2024-03-06 23:02:20,499 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul.v' 2024-03-06 23:02:20,500 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_add_unsigned.v' 2024-03-06 23:02:20,501 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array.v' 2024-03-06 23:02:20,502 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array_row.v' 2024-03-06 23:02:20,503 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_pipelined.v' 2024-03-06 23:02:20,505 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_synth.v' 2024-03-06 23:02:20,506 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux.v' 2024-03-06 23:02:20,507 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux2_gatestack.v' 2024-03-06 23:02:20,508 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_bitwise.v' 2024-03-06 23:02:20,509 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_butterfly.v' 2024-03-06 23:02:20,510 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_one_hot.v' 2024-03-06 23:02:20,510 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_segmented.v' 2024-03-06 23:02:20,511 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_muxi2_gatestack.v' 2024-03-06 23:02:20,512 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nand.v' 2024-03-06 23:02:20,513 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor2.v' 2024-03-06 23:02:20,514 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor3.v' 2024-03-06 23:02:20,515 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_pg_tree.v' 2024-03-06 23:02:20,516 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_popcount.v' 2024-03-06 23:02:20,517 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode.v' 2024-03-06 23:02:20,518 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v' 2024-03-06 23:02:20,519 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce.v' 2024-03-06 23:02:20,520 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce_segmented.v' 2024-03-06 23:02:20,521 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_left.v' 2024-03-06 23:02:20,522 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_right.v' 2024-03-06 23:02:20,523 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py' 2024-03-06 23:02:20,524 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.v' 2024-03-06 23:02:20,528 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_scan.v' 2024-03-06 23:02:20,529 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_strobe.v' 2024-03-06 23:02:20,530 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_swap.v' 2024-03-06 23:02:20,531 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_thermometer_count.v' 2024-03-06 23:02:20,532 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tiehi.v' 2024-03-06 23:02:20,533 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tielo.v' 2024-03-06 23:02:20,534 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_transpose.v' 2024-03-06 23:02:20,535 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_unconcentrate_static.v' 2024-03-06 23:02:20,536 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_after_reset.v' 2024-03-06 23:02:20,536 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_cycles.v' 2024-03-06 23:02:20,537 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xnor.v' 2024-03-06 23:02:20,538 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xor.v' 2024-03-06 23:02:20,540 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block.v' 2024-03-06 23:02:20,540 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v' 2024-03-06 23:02:20,541 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42.v' 2024-03-06 23:02:20,542 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v' 2024-03-06 23:02:20,544 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_barrier.v' 2024-03-06 23:02:20,545 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router.v' 2024-03-06 23:02:20,546 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_buffered.v' 2024-03-06 23:02:20,547 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_decoder_dor.v' 2024-03-06 23:02:20,548 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_pkg.v' 2024-03-06 23:02:20,549 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v' 2024-03-06 23:02:20,550 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py' 2024-03-06 23:02:20,551 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.v' 2024-03-06 23:02:20,555 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_links.vh' 2024-03-06 23:02:20,556 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_pkg.v' 2024-03-06 23:02:20,557 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_repeater_node.v' 2024-03-06 23:02:20,558 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_ready_and_link_async_to_wormhole.v' 2024-03-06 23:02:20,559 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_router_crossbar_o_by_i.v' 2024-03-06 23:02:20,560 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator.v' 2024-03-06 23:02:20,561 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_in.v' 2024-03-06 23:02:20,562 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_out.v' 2024-03-06 23:02:20,563 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.v' 2024-03-06 23:02:20,564 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.vh' 2024-03-06 23:02:20,565 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter.v' 2024-03-06 23:02:20,566 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_in.v' 2024-03-06 23:02:20,567 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_out.v' 2024-03-06 23:02:20,568 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_decoder_dor.v' 2024-03-06 23:02:20,569 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_input_control.v' 2024-03-06 23:02:20,570 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_output_control.v' 2024-03-06 23:02:20,571 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_pkg.v' 2024-03-06 23:02:20,572 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_hasti_converter.v' 2024-03-06 23:02:20,573 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_pkg.v' 2024-03-06 23:02:20,574 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif/bsg_fsb_to_htif_connector.v' 2024-03-06 23:02:20,575 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_master_connector.v' 2024-03-06 23:02:20,576 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_slave_connector.v' 2024-03-06 23:02:20,577 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_nasti_pkg.v' 2024-03-06 23:02:20,579 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag.vh' 2024-03-06 23:02:20,580 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client.v' 2024-03-06 23:02:20,581 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client_unsync.v' 2024-03-06 23:02:20,582 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master.v' 2024-03-06 23:02:20,583 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master_decentralized.v' 2024-03-06 23:02:20,584 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_pkg.v' 2024-03-06 23:02:20,585 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_trace_replay.v' 2024-03-06 23:02:20,586 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/.gitignore' 2024-03-06 23:02:20,587 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.bib' 2024-03-06 23:02:20,589 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.pdf' 2024-03-06 23:02:20,593 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.tex' 2024-03-06 23:02:20,595 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/Makefile' 2024-03-06 23:02:20,596 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-net.tex' 2024-03-06 23:02:20,597 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-node.tex' 2024-03-06 23:02:20,598 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/metastability.tex' 2024-03-06 23:02:20,599 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in0.tex' 2024-03-06 23:02:20,600 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in1.tex' 2024-03-06 23:02:20,601 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2.tex' 2024-03-06 23:02:20,602 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/our-cdc.tex' 2024-03-06 23:02:20,603 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/relay-node.tex' 2024-03-06 23:02:20,604 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/ucsd_ccores.png' 2024-03-06 23:02:20,607 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/Makefile' 2024-03-06 23:02:20,608 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_file_setter.v' 2024-03-06 23:02:20,609 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_node_bind.v' 2024-03-06 23:02:20,610 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_setter.v' 2024-03-06 23:02:20,611 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_snooper_bind.v' 2024-03-06 23:02:20,612 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_spec.in' 2024-03-06 23:02:20,613 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py' 2024-03-06 23:02:20,615 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/send_config_tag.v' 2024-03-06 23:02:20,616 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/cfgtaggw.v' 2024-03-06 23:02:20,617 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_defs.v' 2024-03-06 23:02:20,618 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_node.v' 2024-03-06 23:02:20,619 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_snooper.v' 2024-03-06 23:02:20,620 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_utils.v' 2024-03-06 23:02:20,621 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/rNandMeta.v' 2024-03-06 23:02:20,622 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/relay_node.v' 2024-03-06 23:02:20,623 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfg_tag_tb.v' 2024-03-06 23:02:20,624 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfgtag.v' 2024-03-06 23:02:20,625 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/config_defs.v' 2024-03-06 23:02:20,627 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.cpp' 2024-03-06 23:02:20,628 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.vh' 2024-03-06 23:02:20,629 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3_pkg.v' 2024-03-06 23:02:20,630 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ascii_writer.v' 2024-03-06 23:02:20,631 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_axi_mem.v' 2024-03-06 23:02:20,632 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen.v' 2024-03-06 23:02:20,633 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen_plusarg.v' 2024-03-06 23:02:20,634 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_delay_line.v' 2024-03-06 23:02:20,635 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi.hpp' 2024-03-06 23:02:20,636 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.cpp' 2024-03-06 23:02:20,637 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.hpp' 2024-03-06 23:02:20,638 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.v' 2024-03-06 23:02:20,639 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.hpp' 2024-03-06 23:02:20,640 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.v' 2024-03-06 23:02:20,641 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_errno.hpp' 2024-03-06 23:02:20,642 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_fifo.hpp' 2024-03-06 23:02:20,643 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_from_fifo.v' 2024-03-06 23:02:20,644 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.hpp' 2024-03-06 23:02:20,645 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.v' 2024-03-06 23:02:20,646 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.hpp' 2024-03-06 23:02:20,647 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.v' 2024-03-06 23:02:20,648 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_to_fifo.v' 2024-03-06 23:02:20,649 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.svh' 2024-03-06 23:02:20,650 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.v' 2024-03-06 23:02:20,651 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_map.v' 2024-03-06 23:02:20,652 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_unmap.v' 2024-03-06 23:02:20,653 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ramulator_hbm.v' 2024-03-06 23:02:20,654 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_random_gen.v' 2024-03-06 23:02:20,655 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_reset_gen.v' 2024-03-06 23:02:20,656 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_test_rom.v' 2024-03-06 23:02:20,657 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_triwire.v' 2024-03-06 23:02:20,658 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_val_watcher_1p.v' 2024-03-06 23:02:20,659 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_ramulator_hbm.cpp' 2024-03-06 23:02:20,660 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_trace_replay.v' 2024-03-06 23:02:20,661 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/libdramsim3.mk' 2024-03-06 23:02:20,662 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_assembler_defines.v' 2024-03-06 23:02:20,663 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_clock_params.v' 2024-03-06 23:02:20,664 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_data_gen.v' 2024-03-06 23:02:20,665 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib/Makefile' 2024-03-06 23:02:20,668 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/BaseJump_STL_DAC_2018_Camera_Ready.pdf' 2024-03-06 23:02:20,676 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/README.md' 2024-03-06 23:02:20,678 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/README.md' 2024-03-06 23:02:20,679 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_launch_sync_sync.v' 2024-03-06 23:02:20,680 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_sync_sync.v' 2024-03-06 23:02:20,681 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/README.verification' 2024-03-06 23:02:20,682 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_clk_gen_osc.v' 2024-03-06 23:02:20,683 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v' 2024-03-06 23:02:20,684 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v' 2024-03-06 23:02:20,685 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v' 2024-03-06 23:02:20,686 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/Makefile' 2024-03-06 23:02:20,687 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/fo4.sp' 2024-03-06 23:02:20,688 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/io.sp' 2024-03-06 23:02:20,689 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/osc.sp' 2024-03-06 23:02:20,690 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.14.sp' 2024-03-06 23:02:20,691 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.sx' 2024-03-06 23:02:20,693 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_isdr_phy.v' 2024-03-06 23:02:20,694 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_osdr_phy.v' 2024-03-06 23:02:20,695 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_ddr.constraints.tcl' 2024-03-06 23:02:20,696 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_sdr.constraints.tcl' 2024-03-06 23:02:20,697 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync.v' 2024-03-06 23:02:20,698 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync_macros.vh' 2024-03-06 23:02:20,699 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync.v' 2024-03-06 23:02:20,700 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_macros.vh' 2024-03-06 23:02:20,701 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-03-06 23:02:20,702 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_macros.vh' 2024-03-06 23:02:20,703 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-03-06 23:02:20,704 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_macros.vh' 2024-03-06 23:02:20,705 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync.v' 2024-03-06 23:02:20,706 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync_macros.vh' 2024-03-06 23:02:20,707 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync.v' 2024-03-06 23:02:20,708 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync_macros.vh' 2024-03-06 23:02:20,709 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py' 2024-03-06 23:02:20,710 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py' 2024-03-06 23:02:20,711 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py' 2024-03-06 23:02:20,712 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux.v' 2024-03-06 23:02:20,713 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py' 2024-03-06 23:02:20,714 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py' 2024-03-06 23:02:20,715 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tiehi.v' 2024-03-06 23:02:20,716 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tielo.v' 2024-03-06 23:02:20,718 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync.v' 2024-03-06 23:02:20,719 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-03-06 23:02:20,720 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-03-06 23:02:20,721 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_2r1w_sync.v' 2024-03-06 23:02:20,722 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w.v' 2024-03-06 23:02:20,723 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w_sync.v' 2024-03-06 23:02:20,724 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync.v' 2024-03-06 23:02:20,725 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-03-06 23:02:20,726 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-03-06 23:02:20,727 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_clkgate_optional.v' 2024-03-06 23:02:20,728 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_dff_gatestack.v' 2024-03-06 23:02:20,729 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_mux2_gatestack.v' 2024-03-06 23:02:20,730 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_muxi2_gatestack.v' 2024-03-06 23:02:20,731 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_launch_sync_sync.v' 2024-03-06 23:02:20,732 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_sync_sync.v' 2024-03-06 23:02:20,734 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v' 2024-03-06 23:02:20,735 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync.v' 2024-03-06 23:02:20,736 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-03-06 23:02:20,737 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-03-06 23:02:20,738 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_2r1w_sync.v' 2024-03-06 23:02:20,739 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_sink.v' 2024-03-06 23:02:20,740 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_source.v' 2024-03-06 23:02:20,741 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_mux.v' 2024-03-06 23:02:20,742 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/README.verification' 2024-03-06 23:02:20,743 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_clk_gen_osc.v' 2024-03-06 23:02:20,744 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v' 2024-03-06 23:02:20,745 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v' 2024-03-06 23:02:20,746 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v' 2024-03-06 23:02:20,747 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/Makefile' 2024-03-06 23:02:20,749 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/io.sp' 2024-03-06 23:02:20,750 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/osc.sp' 2024-03-06 23:02:20,751 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.180.sp' 2024-03-06 23:02:20,752 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.250.sp' 2024-03-06 23:02:20,753 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.sx' 2024-03-06 23:02:20,754 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_datapath.v' 2024-03-06 23:02:20,755 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py' 2024-03-06 23:02:20,757 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w.v' 2024-03-06 23:02:20,758 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v' 2024-03-06 23:02:20,759 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync.v' 2024-03-06 23:02:20,760 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-03-06 23:02:20,761 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-03-06 23:02:20,762 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w.v' 2024-03-06 23:02:20,763 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w_sync.v' 2024-03-06 23:02:20,764 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py' 2024-03-06 23:02:20,765 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_and.v' 2024-03-06 23:02:20,766 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_buf.v' 2024-03-06 23:02:20,767 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_clkbuf.v' 2024-03-06 23:02:20,768 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff.v' 2024-03-06 23:02:20,769 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_en.v' 2024-03-06 23:02:20,770 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py' 2024-03-06 23:02:20,771 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset.v' 2024-03-06 23:02:20,772 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset_en.v' 2024-03-06 23:02:20,773 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py' 2024-03-06 23:02:20,774 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_inv.v' 2024-03-06 23:02:20,775 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux.v' 2024-03-06 23:02:20,776 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py' 2024-03-06 23:02:20,777 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_one_hot.v' 2024-03-06 23:02:20,778 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nand.v' 2024-03-06 23:02:20,779 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nor3.v' 2024-03-06 23:02:20,780 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce.v' 2024-03-06 23:02:20,781 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py' 2024-03-06 23:02:20,782 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tiehi.v' 2024-03-06 23:02:20,783 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tielo.v' 2024-03-06 23:02:20,784 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xnor.v' 2024-03-06 23:02:20,785 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xor.v' 2024-03-06 23:02:20,786 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/README' 2024-03-06 23:02:20,787 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py' 2024-03-06 23:02:20,788 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py' 2024-03-06 23:02:20,789 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py' 2024-03-06 23:02:20,791 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py' 2024-03-06 23:02:20,792 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py' 2024-03-06 23:02:20,793 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v' 2024-03-06 23:02:20,794 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v' 2024-03-06 23:02:20,795 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v' 2024-03-06 23:02:20,796 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl' 2024-03-06 23:02:20,797 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/README.verification' 2024-03-06 23:02:20,798 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_clk_gen_osc.v' 2024-03-06 23:02:20,799 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_dly_line.v' 2024-03-06 23:02:20,800 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v' 2024-03-06 23:02:20,801 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v' 2024-03-06 23:02:20,802 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v' 2024-03-06 23:02:20,803 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/Makefile' 2024-03-06 23:02:20,804 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/fo4.sp' 2024-03-06 23:02:20,805 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/io.sp' 2024-03-06 23:02:20,806 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/osc.sp' 2024-03-06 23:02:20,807 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.180.sp' 2024-03-06 23:02:20,808 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.250.sp' 2024-03-06 23:02:20,809 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.40.sp' 2024-03-06 23:02:20,810 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.sx' 2024-03-06 23:02:20,811 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w.v' 2024-03-06 23:02:20,813 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync.v' 2024-03-06 23:02:20,814 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v' 2024-03-06 23:02:20,815 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync.v' 2024-03-06 23:02:20,816 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-03-06 23:02:20,817 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-03-06 23:02:20,818 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w.v' 2024-03-06 23:02:20,819 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w_sync.v' 2024-03-06 23:02:20,820 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py' 2024-03-06 23:02:20,821 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_and.v' 2024-03-06 23:02:20,822 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_buf.v' 2024-03-06 23:02:20,823 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_clkbuf.v' 2024-03-06 23:02:20,824 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff.v' 2024-03-06 23:02:20,825 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_en.v' 2024-03-06 23:02:20,826 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py' 2024-03-06 23:02:20,827 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset.v' 2024-03-06 23:02:20,828 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset_en.v' 2024-03-06 23:02:20,829 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py' 2024-03-06 23:02:20,830 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_inv.v' 2024-03-06 23:02:20,831 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux.v' 2024-03-06 23:02:20,832 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_bitwise.v' 2024-03-06 23:02:20,833 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py' 2024-03-06 23:02:20,834 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_one_hot.v' 2024-03-06 23:02:20,835 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nand.v' 2024-03-06 23:02:20,836 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nor3.v' 2024-03-06 23:02:20,837 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce.v' 2024-03-06 23:02:20,838 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py' 2024-03-06 23:02:20,839 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tiehi.v' 2024-03-06 23:02:20,840 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tielo.v' 2024-03-06 23:02:20,841 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xnor.v' 2024-03-06 23:02:20,842 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xor.v' 2024-03-06 23:02:20,843 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/README' 2024-03-06 23:02:20,844 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py' 2024-03-06 23:02:20,845 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py' 2024-03-06 23:02:20,847 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py' 2024-03-06 23:02:20,848 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py' 2024-03-06 23:02:20,849 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py' 2024-03-06 23:02:20,850 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v' 2024-03-06 23:02:20,851 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v' 2024-03-06 23:02:20,852 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v' 2024-03-06 23:02:20,853 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl' 2024-03-06 23:02:20,854 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-03-06 23:02:20,855 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc/bsg_mul_add_unsigned.v' 2024-03-06 23:02:20,856 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports/Makefile' 2024-03-06 23:02:20,858 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/.gitignore' 2024-03-06 23:02:20,859 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/Makefile.sim' 2024-03-06 23:02:20,860 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/.gitignore' 2024-03-06 23:02:20,861 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/Makefile' 2024-03-06 23:02:20,862 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/README.md' 2024-03-06 23:02:20,863 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py' 2024-03-06 23:02:20,864 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/sv.include' 2024-03-06 23:02:20,865 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/testbench.v' 2024-03-06 23:02:20,866 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/wave.tcl' 2024-03-06 23:02:20,867 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/.gitignore' 2024-03-06 23:02:20,868 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/basic_checker_32.v' 2024-03-06 23:02:20,869 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py' 2024-03-06 23:02:20,870 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_dma_model.v' 2024-03-06 23:02:20,871 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_non_blocking_dma_model.v' 2024-03-06 23:02:20,872 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_random_yumi_gen.v' 2024-03-06 23:02:20,874 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/.gitignore' 2024-03-06 23:02:20,874 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/Makefile' 2024-03-06 23:02:20,875 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_test_master.v' 2024-03-06 23:02:20,876 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_trace_rom.v' 2024-03-06 23:02:20,877 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py' 2024-03-06 23:02:20,878 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/sv.include' 2024-03-06 23:02:20,879 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/testbench.v' 2024-03-06 23:02:20,881 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/wave.tcl' 2024-03-06 23:02:20,882 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/.gitignore' 2024-03-06 23:02:20,883 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/Makefile' 2024-03-06 23:02:20,884 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/README.md' 2024-03-06 23:02:20,885 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/lru_stats.v' 2024-03-06 23:02:20,886 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sv.include' 2024-03-06 23:02:20,887 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sweep.sh' 2024-03-06 23:02:20,888 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py' 2024-03-06 23:02:20,889 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py' 2024-03-06 23:02:20,890 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py' 2024-03-06 23:02:20,890 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py' 2024-03-06 23:02:20,891 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py' 2024-03-06 23:02:20,892 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/testbench.v' 2024-03-06 23:02:20,894 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/Makefile' 2024-03-06 23:02:20,895 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/README.md' 2024-03-06 23:02:20,896 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/bsg_test_node_master.v' 2024-03-06 23:02:20,897 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/sv.include' 2024-03-06 23:02:20,898 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/testbench.v' 2024-03-06 23:02:20,899 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/.gitignore' 2024-03-06 23:02:20,900 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/Makefile' 2024-03-06 23:02:20,901 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/README.md' 2024-03-06 23:02:20,902 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/sv.include' 2024-03-06 23:02:20,903 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/testbench.v' 2024-03-06 23:02:20,904 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py' 2024-03-06 23:02:20,905 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py' 2024-03-06 23:02:20,906 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py' 2024-03-06 23:02:20,907 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/.gitignore' 2024-03-06 23:02:20,908 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/Makefile' 2024-03-06 23:02:20,909 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/README.md' 2024-03-06 23:02:20,910 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/basic_checker.v' 2024-03-06 23:02:20,911 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/sv.include' 2024-03-06 23:02:20,912 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py' 2024-03-06 23:02:20,913 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py' 2024-03-06 23:02:20,914 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py' 2024-03-06 23:02:20,915 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py' 2024-03-06 23:02:20,916 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py' 2024-03-06 23:02:20,917 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py' 2024-03-06 23:02:20,918 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py' 2024-03-06 23:02:20,919 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py' 2024-03-06 23:02:20,920 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py' 2024-03-06 23:02:20,921 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py' 2024-03-06 23:02:20,922 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py' 2024-03-06 23:02:20,923 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/testbench.v' 2024-03-06 23:02:20,924 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/width_sweep.sh' 2024-03-06 23:02:20,925 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/.gitignore' 2024-03-06 23:02:20,926 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/Makefile' 2024-03-06 23:02:20,927 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/README.md' 2024-03-06 23:02:20,928 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/ainv_checker.v' 2024-03-06 23:02:20,929 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/basic_checker.v' 2024-03-06 23:02:20,930 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/block_ld_checker.v' 2024-03-06 23:02:20,931 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py' 2024-03-06 23:02:20,932 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov.tcl' 2024-03-06 23:02:20,933 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_mhu.v' 2024-03-06 23:02:20,934 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_miss_fifo.v' 2024-03-06 23:02:20,935 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_tl_stage.v' 2024-03-06 23:02:20,936 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_top.v' 2024-03-06 23:02:20,937 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/exclusion.el' 2024-03-06 23:02:20,938 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/sv.include' 2024-03-06 23:02:20,939 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/tag_checker.v' 2024-03-06 23:02:20,940 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py' 2024-03-06 23:02:20,941 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py' 2024-03-06 23:02:20,942 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py' 2024-03-06 23:02:20,943 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py' 2024-03-06 23:02:20,944 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py' 2024-03-06 23:02:20,945 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py' 2024-03-06 23:02:20,946 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py' 2024-03-06 23:02:20,947 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py' 2024-03-06 23:02:20,948 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py' 2024-03-06 23:02:20,949 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py' 2024-03-06 23:02:20,950 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py' 2024-03-06 23:02:20,951 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py' 2024-03-06 23:02:20,952 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py' 2024-03-06 23:02:20,953 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py' 2024-03-06 23:02:20,954 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py' 2024-03-06 23:02:20,955 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py' 2024-03-06 23:02:20,956 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py' 2024-03-06 23:02:20,957 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py' 2024-03-06 23:02:20,958 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py' 2024-03-06 23:02:20,959 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py' 2024-03-06 23:02:20,960 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py' 2024-03-06 23:02:20,960 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py' 2024-03-06 23:02:20,961 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py' 2024-03-06 23:02:20,962 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py' 2024-03-06 23:02:20,963 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py' 2024-03-06 23:02:20,964 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py' 2024-03-06 23:02:20,965 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py' 2024-03-06 23:02:20,966 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/testbench.v' 2024-03-06 23:02:20,968 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/.gitignore' 2024-03-06 23:02:20,969 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/Makefile' 2024-03-06 23:02:20,970 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sv.include' 2024-03-06 23:02:20,971 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sweep.sh' 2024-03-06 23:02:20,972 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py' 2024-03-06 23:02:20,972 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py' 2024-03-06 23:02:20,973 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py' 2024-03-06 23:02:20,974 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py' 2024-03-06 23:02:20,975 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py' 2024-03-06 23:02:20,976 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py' 2024-03-06 23:02:20,977 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py' 2024-03-06 23:02:20,978 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py' 2024-03-06 23:02:20,979 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py' 2024-03-06 23:02:20,980 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py' 2024-03-06 23:02:20,981 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py' 2024-03-06 23:02:20,982 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py' 2024-03-06 23:02:20,983 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py' 2024-03-06 23:02:20,984 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py' 2024-03-06 23:02:20,985 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py' 2024-03-06 23:02:20,986 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py' 2024-03-06 23:02:20,987 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py' 2024-03-06 23:02:20,988 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py' 2024-03-06 23:02:20,989 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py' 2024-03-06 23:02:20,990 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py' 2024-03-06 23:02:20,991 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py' 2024-03-06 23:02:20,992 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/testbench.v' 2024-03-06 23:02:20,993 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/Makefile' 2024-03-06 23:02:20,994 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/README.md' 2024-03-06 23:02:20,995 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/bsg_nonsynth_clk_gen_tester.v' 2024-03-06 23:02:20,996 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/test_bsg.v' 2024-03-06 23:02:20,998 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/Makefile' 2024-03-06 23:02:20,999 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/test_bsg.v' 2024-03-06 23:02:21,000 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/Makefile' 2024-03-06 23:02:21,001 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/test_bsg.v' 2024-03-06 23:02:21,002 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/Makefile' 2024-03-06 23:02:21,003 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/test_bsg.v' 2024-03-06 23:02:21,004 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/Makefile' 2024-03-06 23:02:21,005 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/test_bsg_fifo_1r1w_large.v' 2024-03-06 23:02:21,007 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/Makefile' 2024-03-06 23:02:21,008 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/bsg_test.v' 2024-03-06 23:02:21,009 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/test_bsg_fifo_1r1w_pseudo_large.v' 2024-03-06 23:02:21,010 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/Makefile' 2024-03-06 23:02:21,011 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/README' 2024-03-06 23:02:21,012 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_test_node.v' 2024-03-06 23:02:21,013 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_tester.v' 2024-03-06 23:02:21,014 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/filelist' 2024-03-06 23:02:21,015 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/Makefile' 2024-03-06 23:02:21,016 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/sv.include' 2024-03-06 23:02:21,017 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/testbench.v' 2024-03-06 23:02:21,018 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/Makefile' 2024-03-06 23:02:21,019 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/remote_node.v' 2024-03-06 23:02:21,020 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/sv.include' 2024-03-06 23:02:21,021 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/testbench.v' 2024-03-06 23:02:21,022 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/Makefile' 2024-03-06 23:02:21,023 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/README' 2024-03-06 23:02:21,024 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_test_node.v' 2024-03-06 23:02:21,025 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_tester.v' 2024-03-06 23:02:21,026 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/filelist' 2024-03-06 23:02:21,027 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/.gitignore' 2024-03-06 23:02:21,028 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/Makefile' 2024-03-06 23:02:21,029 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/sv.include' 2024-03-06 23:02:21,030 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/testbench.v' 2024-03-06 23:02:21,032 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/.gitignore' 2024-03-06 23:02:21,032 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/Makefile' 2024-03-06 23:02:21,033 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/sv.include' 2024-03-06 23:02:21,034 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/testbench.v' 2024-03-06 23:02:21,036 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/.gitignore' 2024-03-06 23:02:21,037 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/Makefile' 2024-03-06 23:02:21,038 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/sv.include' 2024-03-06 23:02:21,039 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/testbench.v' 2024-03-06 23:02:21,040 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/Makefile' 2024-03-06 23:02:21,041 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/filelist.lst' 2024-03-06 23:02:21,042 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/tasks.v' 2024-03-06 23:02:21,043 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/testbench.v' 2024-03-06 23:02:21,044 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/1024Mb_mobile_ddr_parameters.vh' 2024-03-06 23:02:21,045 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/128Mb_mobile_ddr_parameters.vh' 2024-03-06 23:02:21,046 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/2048Mb_mobile_ddr_parameters.vh' 2024-03-06 23:02:21,048 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/256Mb_mobile_ddr_parameters.vh' 2024-03-06 23:02:21,049 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/512Mb_mobile_ddr_parameters.vh' 2024-03-06 23:02:21,050 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr.v' 2024-03-06 23:02:21,052 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr_mcp.v' 2024-03-06 23:02:21,054 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/readme.txt' 2024-03-06 23:02:21,055 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/subtest.vh' 2024-03-06 23:02:21,055 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.do' 2024-03-06 23:02:21,057 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.v' 2024-03-06 23:02:21,058 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/.gitignore' 2024-03-06 23:02:21,059 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/Makefile' 2024-03-06 23:02:21,060 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/add_sub_32.c' 2024-03-06 23:02:21,061 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/rtl_sv.include' 2024-03-06 23:02:21,062 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/synth_sv.include' 2024-03-06 23:02:21,063 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/testbench.v' 2024-03-06 23:02:21,064 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/Makefile' 2024-03-06 23:02:21,065 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/sv.include' 2024-03-06 23:02:21,066 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/testbench.v' 2024-03-06 23:02:21,067 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/Makefile' 2024-03-06 23:02:21,068 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/cmp_32.c' 2024-03-06 23:02:21,069 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/rtl_sv.include' 2024-03-06 23:02:21,070 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/synth_sv.include' 2024-03-06 23:02:21,071 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/testbench.v' 2024-03-06 23:02:21,072 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.c' 2024-03-06 23:02:21,073 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.h' 2024-03-06 23:02:21,074 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/Makefile' 2024-03-06 23:02:21,075 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/f2i_32.c' 2024-03-06 23:02:21,076 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/rtl_sv.include' 2024-03-06 23:02:21,077 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/synth_sv.include' 2024-03-06 23:02:21,078 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/testbench.v' 2024-03-06 23:02:21,079 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/Makefile' 2024-03-06 23:02:21,080 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/i2f_32.c' 2024-03-06 23:02:21,081 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/rtl_sv.include' 2024-03-06 23:02:21,082 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/synth_sv.include' 2024-03-06 23:02:21,083 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/testbench.v' 2024-03-06 23:02:21,084 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/Makefile' 2024-03-06 23:02:21,085 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/mul_32.c' 2024-03-06 23:02:21,086 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/rtl_sv.include' 2024-03-06 23:02:21,087 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/synth_sv.include' 2024-03-06 23:02:21,088 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/testbench.v' 2024-03-06 23:02:21,089 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/Makefile' 2024-03-06 23:02:21,090 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/README' 2024-03-06 23:02:21,091 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_downstream_encode.v' 2024-03-06 23:02:21,092 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_test_node.v' 2024-03-06 23:02:21,093 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_tester.v' 2024-03-06 23:02:21,095 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/filelist' 2024-03-06 23:02:21,096 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/Makefile' 2024-03-06 23:02:21,097 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_test_node.v' 2024-03-06 23:02:21,098 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_tester.v' 2024-03-06 23:02:21,099 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/filelist' 2024-03-06 23:02:21,100 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/.gitignore' 2024-03-06 23:02:21,101 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/Makefile' 2024-03-06 23:02:21,102 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/sv.include' 2024-03-06 23:02:21,103 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/testbench.v' 2024-03-06 23:02:21,104 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/.gitignore' 2024-03-06 23:02:21,105 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/Makefile' 2024-03-06 23:02:21,106 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/sv.include' 2024-03-06 23:02:21,108 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/testbench.v' 2024-03-06 23:02:21,109 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/Makefile' 2024-03-06 23:02:21,110 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/test_bsg.v' 2024-03-06 23:02:21,111 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/Makefile' 2024-03-06 23:02:21,112 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/test_bsg.v' 2024-03-06 23:02:21,113 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/Makefile' 2024-03-06 23:02:21,114 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/test_bsg.v' 2024-03-06 23:02:21,116 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/Makefile' 2024-03-06 23:02:21,117 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/test_bsg.v' 2024-03-06 23:02:21,118 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/.gitignore' 2024-03-06 23:02:21,119 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/Makefile' 2024-03-06 23:02:21,120 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/testbench.v' 2024-03-06 23:02:21,121 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py' 2024-03-06 23:02:21,122 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/test_bsg.cpp' 2024-03-06 23:02:21,123 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot/test_bsg.v' 2024-03-06 23:02:21,124 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin/test.v' 2024-03-06 23:02:21,126 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/Makefile' 2024-03-06 23:02:21,126 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/test_bsg.v' 2024-03-06 23:02:21,128 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/Makefile' 2024-03-06 23:02:21,129 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/test_bsg.v' 2024-03-06 23:02:21,130 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/Makefile' 2024-03-06 23:02:21,131 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/test_bsg.v' 2024-03-06 23:02:21,132 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/Makefile' 2024-03-06 23:02:21,133 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/test_bsg.v' 2024-03-06 23:02:21,134 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/Makefile' 2024-03-06 23:02:21,135 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/test_bsg.v' 2024-03-06 23:02:21,136 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/Makefile' 2024-03-06 23:02:21,137 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/test_bsg.v' 2024-03-06 23:02:21,138 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/Makefile' 2024-03-06 23:02:21,140 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/test_bsg.v' 2024-03-06 23:02:21,141 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/Makefile' 2024-03-06 23:02:21,142 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/another_test.v' 2024-03-06 23:02:21,143 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/test_bsg.v' 2024-03-06 23:02:21,144 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/Makefile' 2024-03-06 23:02:21,145 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/test_bsg.v' 2024-03-06 23:02:21,146 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/Makefile' 2024-03-06 23:02:21,147 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/tb.v' 2024-03-06 23:02:21,148 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/verilator_top.cc' 2024-03-06 23:02:21,149 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/Makefile' 2024-03-06 23:02:21,150 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide.files' 2024-03-06 23:02:21,151 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_32.stim' 2024-03-06 23:02:21,152 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_4.stim' 2024-03-06 23:02:21,153 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_64.stim' 2024-03-06 23:02:21,154 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/get_stim.c' 2024-03-06 23:02:21,155 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/mypli.tab' 2024-03-06 23:02:21,156 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py' 2024-03-06 23:02:21,157 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/s_expected.txt' 2024-03-06 23:02:21,158 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/signed.c' 2024-03-06 23:02:21,159 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/test_bsg.v' 2024-03-06 23:02:21,160 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/u_expected.txt' 2024-03-06 23:02:21,161 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/unsigned.c' 2024-03-06 23:02:21,162 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/Makefile' 2024-03-06 23:02:21,163 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/filelist.f' 2024-03-06 23:02:21,164 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/test_bsg.v' 2024-03-06 23:02:21,165 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/Makefile' 2024-03-06 23:02:21,166 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/sv.include' 2024-03-06 23:02:21,167 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/testbench.v' 2024-03-06 23:02:21,168 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/Makefile' 2024-03-06 23:02:21,169 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/sv.include' 2024-03-06 23:02:21,170 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/testbench.v' 2024-03-06 23:02:21,171 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/Makefile' 2024-03-06 23:02:21,172 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/sv.include' 2024-03-06 23:02:21,173 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/testbench.v' 2024-03-06 23:02:21,174 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/Makefile' 2024-03-06 23:02:21,175 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/test_bsg.v' 2024-03-06 23:02:21,177 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/Makefile' 2024-03-06 23:02:21,178 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/test_bsg.v' 2024-03-06 23:02:21,179 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/Makefile' 2024-03-06 23:02:21,180 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/test_bsg.v' 2024-03-06 23:02:21,181 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/Makefile' 2024-03-06 23:02:21,182 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/test_bsg.v' 2024-03-06 23:02:21,183 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree/test.v' 2024-03-06 23:02:21,184 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/Makefile' 2024-03-06 23:02:21,185 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/test_bsg.v' 2024-03-06 23:02:21,186 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/Makefile' 2024-03-06 23:02:21,187 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/sv.include' 2024-03-06 23:02:21,188 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/testbench.v' 2024-03-06 23:02:21,189 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left/main.v' 2024-03-06 23:02:21,190 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/Makefile' 2024-03-06 23:02:21,191 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/test_bsg.v' 2024-03-06 23:02:21,193 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/Makefile' 2024-03-06 23:02:21,194 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/test_bsg.v' 2024-03-06 23:02:21,195 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/Makefile' 2024-03-06 23:02:21,196 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/test_bsg.v' 2024-03-06 23:02:21,197 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/Makefile' 2024-03-06 23:02:21,198 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/test_bsg.v' 2024-03-06 23:02:21,199 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/Makefile' 2024-03-06 23:02:21,200 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/test_bsg.v' 2024-03-06 23:02:21,201 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/Makefile' 2024-03-06 23:02:21,202 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/test_bsg.v' 2024-03-06 23:02:21,204 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/Makefile' 2024-03-06 23:02:21,205 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/test_bsg.v' 2024-03-06 23:02:21,206 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/Makefile' 2024-03-06 23:02:21,207 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/sv.include' 2024-03-06 23:02:21,208 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/testbench.v' 2024-03-06 23:02:21,209 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier/test.v' 2024-03-06 23:02:21,210 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/Makefile' 2024-03-06 23:02:21,211 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/test_bsg.v' 2024-03-06 23:02:21,212 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/Makefile' 2024-03-06 23:02:21,213 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/README.md' 2024-03-06 23:02:21,214 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/sv.include' 2024-03-06 23:02:21,215 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test.sh' 2024-03-06 23:02:21,216 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_pkg.v' 2024-03-06 23:02:21,217 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_tile.v' 2024-03-06 23:02:21,218 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/testbench.v' 2024-03-06 23:02:21,219 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch/test_mesh_to_ring_stitch.v' 2024-03-06 23:02:21,221 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/Makefile' 2024-03-06 23:02:21,221 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/README' 2024-03-06 23:02:21,222 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_test_node.v' 2024-03-06 23:02:21,224 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_tester.v' 2024-03-06 23:02:21,225 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/filelist' 2024-03-06 23:02:21,226 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/Makefile' 2024-03-06 23:02:21,227 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/README' 2024-03-06 23:02:21,228 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_client.v' 2024-03-06 23:02:21,229 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_master.v' 2024-03-06 23:02:21,230 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_tester.v' 2024-03-06 23:02:21,231 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/filelist' 2024-03-06 23:02:21,232 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/Makefile' 2024-03-06 23:02:21,233 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/README' 2024-03-06 23:02:21,234 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_test_node.v' 2024-03-06 23:02:21,236 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_tester.v' 2024-03-06 23:02:21,237 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/filelist' 2024-03-06 23:02:21,238 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/Makefile' 2024-03-06 23:02:21,239 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/bsg_trace_rom.v' 2024-03-06 23:02:21,240 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/sv.include' 2024-03-06 23:02:21,241 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/testbench.v' 2024-03-06 23:02:21,242 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/Makefile' 2024-03-06 23:02:21,243 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/bsg_trace_rom.v' 2024-03-06 23:02:21,244 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/sv.include' 2024-03-06 23:02:21,245 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/testbench.v' 2024-03-06 23:02:21,246 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/Makefile' 2024-03-06 23:02:21,247 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/test_bsg.v' 2024-03-06 23:02:21,248 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/Makefile' 2024-03-06 23:02:21,249 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/main.cpp' 2024-03-06 23:02:21,251 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/top.v' 2024-03-06 23:02:21,252 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/Makefile' 2024-03-06 23:02:21,253 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/main.cpp' 2024-03-06 23:02:21,254 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/top.v' 2024-03-06 23:02:21,255 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/.gitignore' 2024-03-06 23:02:21,256 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/Makefile' 2024-03-06 23:02:21,257 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py' 2024-03-06 23:02:21,258 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py' 2024-03-06 23:02:21,259 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/sv.include' 2024-03-06 23:02:21,260 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench.v' 2024-03-06 23:02:21,261 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench_multi.v' 2024-03-06 23:02:21,262 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/.gitignore' 2024-03-06 23:02:21,263 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/Makefile' 2024-03-06 23:02:21,264 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py' 2024-03-06 23:02:21,265 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py' 2024-03-06 23:02:21,266 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/sv.include' 2024-03-06 23:02:21,267 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/testbench.v' 2024-03-06 23:02:21,268 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/Makefile' 2024-03-06 23:02:21,269 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/test_bsg.v' 2024-03-06 23:02:21,270 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/Makefile' 2024-03-06 23:02:21,271 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/dut.v' 2024-03-06 23:02:21,272 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/response.trace.out' 2024-03-06 23:02:21,273 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/stimulus.trace.in' 2024-03-06 23:02:21,274 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/test_bench.v' 2024-03-06 23:02:21,275 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/.gitignore' 2024-03-06 23:02:21,276 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/Makefile' 2024-03-06 23:02:21,277 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py' 2024-03-06 23:02:21,278 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py' 2024-03-06 23:02:21,279 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py' 2024-03-06 23:02:21,280 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/testbench.v' 2024-03-06 23:02:21,281 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py' 2024-03-06 23:02:21,282 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py' 2024-03-06 23:02:21,283 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py' 2024-03-06 23:02:21,284 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py' 2024-03-06 23:02:21,285 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/.gitignore' 2024-03-06 23:02:21,286 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/Makefile' 2024-03-06 23:02:21,287 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/cache_miss_counter.v' 2024-03-06 23:02:21,288 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py' 2024-03-06 23:02:21,289 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py' 2024-03-06 23:02:21,290 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py' 2024-03-06 23:02:21,291 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py' 2024-03-06 23:02:21,292 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py' 2024-03-06 23:02:21,293 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/testbench.v' 2024-03-06 23:02:21,294 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py' 2024-03-06 23:02:21,295 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/vcache_blocking.v' 2024-03-06 23:02:21,297 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth/.gitignore' 2024-03-06 23:02:21,298 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/tools/Makefile.common' 2024-03-06 23:02:21,299 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/bp2wb_convertor.v' 2024-03-06 23:02:21,300 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/cce_ucode.mem' 2024-03-06 23:02:21,301 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.fpga' 2024-03-06 23:02:21,302 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.verilator' 2024-03-06 23:02:21,303 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga/ExampleBlackParrotSystem.v' 2024-03-06 23:02:21,305 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation/ExampleBlackParrotSystem.v' 2024-03-06 23:02:21,307 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/LICENSE' 2024-03-06 23:02:21,307 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/METADATA' 2024-03-06 23:02:21,308 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/WHEEL' 2024-03-06 23:02:21,309 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/top_level.txt' 2024-03-06 23:02:21,372 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/RECORD' 2024-03-06 23:02:21,414 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:02:21,518 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_blackparrot-0.0.post1817-py3-none-any.whl pythondata_cpu_blackparrot-0.0.post1817-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-cv32e40p 2024-03-06 23:02:22,664 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:02:22,900 root INFO running bdist_wheel 2024-03-06 23:02:22,984 root INFO running build 2024-03-06 23:02:22,984 root INFO running build_py 2024-03-06 23:02:22,996 root INFO creating build 2024-03-06 23:02:22,997 root INFO creating build/lib 2024-03-06 23:02:22,997 root INFO creating build/lib/pythondata_cpu_cv32e40p 2024-03-06 23:02:22,998 root INFO copying pythondata_cpu_cv32e40p/__init__.py -> build/lib/pythondata_cpu_cv32e40p 2024-03-06 23:02:23,002 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,003 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:23,003 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/rv32tests-to-junit.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:23,004 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/openocd-to-junit.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:23,014 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb 2024-03-06 23:02:23,015 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,015 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:23,016 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/makehex.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:23,029 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,030 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:23,030 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:23,031 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/openocd-to-junit.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:23,032 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:23,033 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/gen_rom.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:23,054 root INFO running egg_info 2024-03-06 23:02:23,054 root INFO creating pythondata_cpu_cv32e40p.egg-info 2024-03-06 23:02:23,062 root INFO writing pythondata_cpu_cv32e40p.egg-info/PKG-INFO 2024-03-06 23:02:23,065 root INFO writing dependency_links to pythondata_cpu_cv32e40p.egg-info/dependency_links.txt 2024-03-06 23:02:23,067 root INFO writing top-level names to pythondata_cpu_cv32e40p.egg-info/top_level.txt 2024-03-06 23:02:23,067 root INFO writing manifest file 'pythondata_cpu_cv32e40p.egg-info/SOURCES.txt' 2024-03-06 23:02:23,155 root INFO reading manifest file 'pythondata_cpu_cv32e40p.egg-info/SOURCES.txt' 2024-03-06 23:02:23,157 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:02:23,218 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:02:23,218 root INFO adding license file 'LICENSE' 2024-03-06 23:02:23,280 root INFO writing manifest file 'pythondata_cpu_cv32e40p.egg-info/SOURCES.txt' 2024-03-06 23:02:23,433 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.dir-locals.el -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,434 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,435 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.gitlab-ci.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,436 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.gitmodules -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,437 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.travis.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,438 root INFO copying pythondata_cpu_cv32e40p/system_verilog/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,439 root INFO copying pythondata_cpu_cv32e40p/system_verilog/CONTRIBUTING.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,440 root INFO copying pythondata_cpu_cv32e40p/system_verilog/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,441 root INFO copying pythondata_cpu_cv32e40p/system_verilog/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,441 root INFO copying pythondata_cpu_cv32e40p/system_verilog/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,442 root INFO copying pythondata_cpu_cv32e40p/system_verilog/cv32e40p_dm_manifest.flist -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,443 root INFO copying pythondata_cpu_cv32e40p/system_verilog/cv32e40p_fpu_manifest.flist -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,444 root INFO copying pythondata_cpu_cv32e40p/system_verilog/cv32e40p_manifest.flist -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,445 root INFO copying pythondata_cpu_cv32e40p/system_verilog/cv32e40p_trace_manifest.flist -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,446 root INFO copying pythondata_cpu_cv32e40p/system_verilog/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:23,447 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/cv32e40p_sim_clock_gate.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,448 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/register_file_test_wrap.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,449 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_L0_buffer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,450 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,451 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu_div.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,452 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_apu_disp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,453 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_compressed_decoder.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,454 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_controller.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,455 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_core.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,456 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_cs_registers.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,457 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_decoder.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,458 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_ex_stage.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,459 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_fetch_fifo.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,460 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_controller.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,461 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_regs.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,462 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_id_stage.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,463 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_if_stage.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,464 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_int_controller.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,465 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_load_store_unit.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,466 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_mult.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,467 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_pmp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,468 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_L0_buffer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,469 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_buffer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,470 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,471 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file_latch.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,471 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_tracer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:23,472 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/pd 2024-03-06 23:02:23,473 root INFO copying pythondata_cpu_cv32e40p/system_verilog/pd/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/pd 2024-03-06 23:02:23,474 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/Jenkinsfile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:23,475 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/build-riscv-gcc.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:23,475 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/download-pulp-gcc.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:23,476 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/get-openocd.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:23,477 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/install-verilator.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:23,478 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/make-tmp.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:23,479 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:23,480 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/veri-run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:23,481 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/doc 2024-03-06 23:02:23,482 root INFO copying pythondata_cpu_cv32e40p/system_verilog/doc/NONSECURED_RI5CY_DEBUG_reference.xlsx -> build/lib/pythondata_cpu_cv32e40p/system_verilog/doc 2024-03-06 23:02:23,483 root INFO copying pythondata_cpu_cv32e40p/system_verilog/doc/SECURED_RI5CY_DEBUG_reference.xlsx -> build/lib/pythondata_cpu_cv32e40p/system_verilog/doc 2024-03-06 23:02:23,484 root INFO copying pythondata_cpu_cv32e40p/system_verilog/doc/user_manual.doc -> build/lib/pythondata_cpu_cv32e40p/system_verilog/doc 2024-03-06 23:02:23,488 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:23,488 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:23,489 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:23,490 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:23,491 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/dp_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:23,492 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:23,493 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/testbench.cpp -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:23,494 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:23,495 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:23,495 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:23,496 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_perturbation.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:23,497 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_interrupt_generator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:23,498 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_stall.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:23,499 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_simchecker.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:23,500 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/tb_riscv_core.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:23,501 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU 2024-03-06 23:02:23,502 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU/tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU 2024-03-06 23:02:23,502 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,503 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,504 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,505 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.Berkeley -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,506 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.SiFive -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,507 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,508 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,509 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/SimJTAG.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,509 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/boot_rom.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,510 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/dp_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,511 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/mm_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,512 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_compliance_test.cfg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,513 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_debug.cfg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,514 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/riscv_tb_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,515 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_test_env.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,516 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,517 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.cpp -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,518 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,519 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_batch.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,520 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_gui.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,520 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/waves.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:23,521 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-03-06 23:02:23,522 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-03-06 23:02:23,523 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_div.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-03-06 23:02:23,524 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_rem.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-03-06 23:02:23,524 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_udiv.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-03-06 23:02:23,525 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_urem.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-03-06 23:02:23,526 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/scripts 2024-03-06 23:02:23,527 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/scripts/pulptrace -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/scripts 2024-03-06 23:02:23,527 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,528 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,529 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,530 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,531 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/amo_shim.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,532 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/dp_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,533 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/mm_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,534 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_wrapper.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,535 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/software.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,535 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,536 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.cpp -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,537 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,538 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/vsim.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,539 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/waves.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:23,540 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include 2024-03-06 23:02:23,540 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include/perturbation_defines.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include 2024-03-06 23:02:23,541 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:23,541 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:23,542 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:23,543 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/rbs_test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:23,544 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:23,545 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:23,546 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/sim_jtag.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:23,547 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-03-06 23:02:23,547 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-03-06 23:02:23,548 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/start.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-03-06 23:02:23,549 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/syscalls.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-03-06 23:02:23,550 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-03-06 23:02:23,551 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused 2024-03-06 23:02:23,551 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused/SimDTM.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused 2024-03-06 23:02:23,552 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-03-06 23:02:23,553 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/compile.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-03-06 23:02:23,554 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/sim.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-03-06 23:02:23,555 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb.do -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-03-06 23:02:23,556 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb_nogui.do -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-03-06 23:02:23,556 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/wave.do -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-03-06 23:02:23,557 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-03-06 23:02:23,558 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/license_notes -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-03-06 23:02:23,559 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-03-06 23:02:23,560 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/riscv-isa-sim.diff -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-03-06 23:02:23,561 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/start.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-03-06 23:02:23,561 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/syscalls.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-03-06 23:02:23,562 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-03-06 23:02:23,563 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/main.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-03-06 23:02:23,564 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/matmulNxN.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-03-06 23:02:23,565 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,565 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADD-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,566 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADDI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,567 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AND-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,568 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ANDI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,569 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AUIPC-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,570 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BEQ-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,571 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGE-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,572 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGEU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,573 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLT-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,574 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLTU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,575 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BNE-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,575 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRC-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,576 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRCI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,577 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRS-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,578 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRSI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,579 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRW-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,580 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRWI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,581 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-DELAY_SLOTS-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,582 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-EBREAK-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,583 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ECALL-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,584 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ENDIANESS-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,584 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-FENCE.I-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,585 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-IO.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,586 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JAL-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,587 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JALR-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,588 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LB-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,589 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LBU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,590 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LH-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,591 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LHU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,592 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LUI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,592 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LW-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,593 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-NOP-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,594 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-OR-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,595 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ORI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,596 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_size-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,597 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_width-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,598 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_x0-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,599 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLL-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,600 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLLI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,601 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLT-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,602 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,603 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTIU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,604 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,604 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRA-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,605 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRAI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,606 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRL-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,607 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRLI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,608 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SUB-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,609 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SW-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,610 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XOR-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,611 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XORI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,612 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/aw_test_macros.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,613 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_io.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,614 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_test.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,615 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/riscv_test.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,615 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/test_macros.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:23,616 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-03-06 23:02:23,617 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/crt0.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-03-06 23:02:23,618 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/hello_world.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-03-06 23:02:23,619 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-03-06 23:02:23,619 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/syscalls.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-03-06 23:02:23,620 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/vectors.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-03-06 23:02:23,621 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-03-06 23:02:23,622 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-03-06 23:02:23,623 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-03-06 23:02:23,623 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/riscv_test.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-03-06 23:02:23,624 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-03-06 23:02:23,625 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/interrupt_test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-03-06 23:02:23,626 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/isr.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-03-06 23:02:23,627 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/matrix.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-03-06 23:02:23,628 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/vectors.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-03-06 23:02:23,628 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/README -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:23,629 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/firmware.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:23,630 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:23,631 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/multest.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:23,632 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/print.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:23,633 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:23,634 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld.orig -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:23,635 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/sieve.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:23,635 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/start.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:23,636 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/stats.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:23,637 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-03-06 23:02:23,638 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-FENCE.I-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-03-06 23:02:23,639 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_JMP-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-03-06 23:02:23,639 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_LDST-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-03-06 23:02:23,640 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SB-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-03-06 23:02:23,641 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SH-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-03-06 23:02:23,642 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,643 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,643 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/div.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,644 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,645 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divuw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,646 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,647 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mul.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,648 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,649 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhsu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,650 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,650 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,651 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/rem.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,652 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,653 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remuw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,654 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:23,655 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,655 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,656 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/add.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,657 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/addi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,658 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/and.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,659 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/andi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,659 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/auipc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,660 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/beq.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,661 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bge.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,662 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bgeu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,663 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/blt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,664 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bltu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,665 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bne.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,665 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/fence_i.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,666 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jal.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,667 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jalr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,668 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lb.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,669 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lbu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,670 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,670 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lhu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,671 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lui.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,672 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,673 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/or.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,674 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/ori.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,675 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sb.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,676 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,677 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/simple.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,677 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sll.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,678 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slli.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,679 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,680 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slti.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,681 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltiu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,682 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,683 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sra.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,683 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srai.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,684 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srl.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,685 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srli.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,686 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sub.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,687 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,688 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xor.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,689 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xori.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:23,689 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,690 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,691 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,692 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fclass.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,692 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcmp.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,693 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,694 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,695 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fdiv.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,696 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,697 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmin.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,698 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/ldst.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,698 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/move.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,699 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/recoding.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:23,700 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:23,701 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:23,702 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoadd_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:23,702 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoand_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:23,703 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomax_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:23,704 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomaxu_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:23,705 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomin_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:23,706 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amominu_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:23,707 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoor_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:23,707 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoswap_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:23,708 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoxor_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:23,709 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/lrsc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:23,710 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:23,711 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:23,711 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/csr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:23,712 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/dirty.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:23,713 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/ma_fetch.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:23,714 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/sbreak.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:23,715 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/scall.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:23,716 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/wfi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:23,716 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,717 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,718 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,719 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fclass.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,720 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcmp.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,720 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,721 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,722 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fdiv.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,723 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,724 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmin.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,725 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/ldst.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,726 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/move.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,726 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/recoding.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:23,727 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:23,728 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:23,729 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/div.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:23,729 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/divu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:23,730 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mul.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:23,731 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:23,732 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhsu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:23,733 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:23,734 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/rem.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:23,735 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/remu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:23,735 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-03-06 23:02:23,736 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-03-06 23:02:23,737 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/rvc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-03-06 23:02:23,738 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,738 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,739 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,740 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fclass.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,741 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcmp.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,741 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,742 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,743 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fdiv.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,744 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,745 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmin.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,746 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/ldst.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,747 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/move.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,747 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/recoding.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:23,748 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:23,749 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:23,750 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/breakpoint.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:23,751 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/csr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:23,751 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/illegal.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:23,752 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_addr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:23,753 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_fetch.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:23,754 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/mcsr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:23,755 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/sbreak.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:23,756 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/scall.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:23,756 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/shamt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:23,757 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,758 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,759 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,759 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fclass.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,760 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcmp.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,761 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,762 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,763 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fdiv.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,764 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,765 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmin.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,765 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/ldst.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,766 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/move.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,767 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/recoding.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,768 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/structural.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:23,769 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-03-06 23:02:23,769 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-03-06 23:02:23,770 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/rvc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-03-06 23:02:23,771 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:23,771 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:23,772 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/access.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:23,773 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/breakpoint.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:23,774 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/csr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:23,775 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/illegal.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:23,776 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_addr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:23,777 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_fetch.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:23,778 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/mcsr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:23,778 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/sbreak.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:23,779 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/scall.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:23,780 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:23,781 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:23,781 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/csr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:23,782 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/dirty.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:23,783 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/ma_fetch.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:23,784 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/sbreak.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:23,785 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/scall.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:23,786 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/wfi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:23,787 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,787 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,788 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,789 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,790 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,791 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,791 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,792 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,793 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,794 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,795 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,796 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,797 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,797 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,798 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,799 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,800 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,801 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,802 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,803 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,803 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/lrsc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:23,804 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,805 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,806 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/add.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,807 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,807 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addiw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,808 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,809 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/and.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,810 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/andi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,811 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/auipc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,812 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/beq.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,813 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bge.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,813 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bgeu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,814 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/blt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,815 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bltu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,816 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bne.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,817 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/fence_i.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,818 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jal.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,819 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jalr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,819 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lb.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,820 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lbu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,821 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ld.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,822 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,823 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lhu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,824 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lui.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,825 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,825 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lwu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,826 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/or.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,827 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ori.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,828 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sb.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,829 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,830 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,831 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/simple.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,831 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sll.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,832 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slli.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,833 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slliw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,834 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sllw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,835 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,836 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slti.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,837 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltiu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,838 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,838 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sra.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,839 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srai.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,840 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraiw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,841 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,842 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srl.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,843 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srli.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,844 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srliw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,844 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srlw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,845 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sub.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,846 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/subw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,847 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,848 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xor.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,849 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xori.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:23,850 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros 2024-03-06 23:02:23,850 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar 2024-03-06 23:02:23,851 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar/test_macros.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar 2024-03-06 23:02:23,851 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/.travis.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:23,852 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:23,853 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/CHANGELOG.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:23,854 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:23,855 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE.SiFive -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:23,856 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:23,857 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:23,858 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-03-06 23:02:23,858 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_core_package.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-03-06 23:02:23,859 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_macros.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-03-06 23:02:23,860 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_config.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-03-06 23:02:23,861 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_defines.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-03-06 23:02:23,862 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_tracer_defines.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-03-06 23:02:23,862 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:23,863 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:23,864 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitmodules -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:23,865 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:23,865 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:23,866 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/README.org -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:23,867 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:23,868 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:23,869 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:23,869 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitmodules -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:23,870 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:23,871 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:23,872 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:23,873 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/ips_list.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:23,874 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:23,875 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:23,875 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_csrs.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:23,876 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_mem.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:23,877 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:23,878 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_sba.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:23,879 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:23,880 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_wrap.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:23,881 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_cdc.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:23,881 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:23,882 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag_tap.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:23,883 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,884 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,885 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,885 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.Berkeley -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,886 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.SiFive -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,887 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,888 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,889 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/SimJTAG.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,890 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/boot_rom.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,891 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_compliance_test.cfg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,892 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_debug.cfg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,892 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_tb_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,893 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dp_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,894 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/mm_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,895 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_test_env.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,896 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,897 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.cpp -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,898 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,899 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_batch.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,899 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_gui.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,900 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/waves.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:23,901 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/download-pulp-gcc.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:23,902 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/get-openocd.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:23,903 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/install-verilator.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:23,904 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/make-tmp.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:23,905 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:23,906 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/veri-run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:23,907 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:23,908 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:23,908 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:23,909 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:23,910 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:23,911 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/encoding.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:23,912 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:23,913 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-03-06 23:02:23,913 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debug-system.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-03-06 23:02:23,914 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debugsys_schematic.svg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-03-06 23:02:23,915 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.json -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-03-06 23:02:23,916 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.svg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-03-06 23:02:23,917 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:23,918 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:23,918 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:23,919 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/rbs_test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:23,920 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:23,921 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:23,922 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/sim_jtag.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:23,923 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-03-06 23:02:23,923 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/crt0.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-03-06 23:02:23,924 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-03-06 23:02:23,925 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/syscalls.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-03-06 23:02:23,926 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-03-06 23:02:23,927 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/vectors.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-03-06 23:02:23,928 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused 2024-03-06 23:02:23,928 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused/SimDTM.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused 2024-03-06 23:02:23,929 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-03-06 23:02:23,930 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-03-06 23:02:23,930 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-03-06 23:02:23,931 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_tb_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-03-06 23:02:23,932 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-03-06 23:02:23,933 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-03-06 23:02:23,934 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/pulp-notes.org -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-03-06 23:02:23,934 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:23,935 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:23,936 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:23,936 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/driver.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:23,937 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/reader.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:23,938 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/response.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:23,939 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scoreboard.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:23,940 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:23,941 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:23,942 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_wrapper.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:23,943 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:23,944 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:23,944 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves 2024-03-06 23:02:23,945 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves/trace_debugger.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves 2024-03-06 23:02:23,946 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,946 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,947 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger_stimuli_gen.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,948 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,949 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_reg_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,950 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,951 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align8.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,952 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_apb_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,952 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_branch_map.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,953 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_filter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,954 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_lzc.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,955 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_packet_emitter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,956 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_priority.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,957 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_reg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,958 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_timer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:23,959 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-03-06 23:02:23,959 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/Jenkinsfile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-03-06 23:02:23,960 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/run-hw-tests.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-03-06 23:02:23,961 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64 2024-03-06 23:02:23,961 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64 2024-03-06 23:02:23,962 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test 2024-03-06 23:02:23,963 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test 2024-03-06 23:02:23,964 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc 2024-03-06 23:02:23,964 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc 2024-03-06 23:02:23,965 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:23,965 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:23,966 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:23,967 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/config.json -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:23,968 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/driver_example.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:23,969 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_data_trace_debugger.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:23,970 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:23,971 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:23,972 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:23,972 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-03-06 23:02:23,973 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-03-06 23:02:23,974 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-03-06 23:02:23,975 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/config.json -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-03-06 23:02:23,976 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/range.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-03-06 23:02:23,976 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-03-06 23:02:23,977 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-03-06 23:02:23,978 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-03-06 23:02:23,979 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/interrupt.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-03-06 23:02:23,979 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-03-06 23:02:23,980 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-03-06 23:02:23,981 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-03-06 23:02:23,982 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/ll_driver.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-03-06 23:02:23,983 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli 2024-03-06 23:02:23,983 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli/test -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli 2024-03-06 23:02:23,984 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy 2024-03-06 23:02:23,985 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy/apb_bus_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy 2024-03-06 23:02:23,985 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts 2024-03-06 23:02:23,986 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts/vsim.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts 2024-03-06 23:02:23,987 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,987 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_cast_multi.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,988 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_classifier.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,989 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_divsqrt_multi.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,990 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,991 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma_multi.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,992 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_noncomp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,993 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_block.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,994 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_fmt_slice.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,995 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_multifmt_slice.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,996 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,997 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_rounding.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,997 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:23,998 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-03-06 23:02:23,999 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CHANGELOG.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-03-06 23:02:24,000 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CODEOWNERS -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-03-06 23:02:24,001 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CONTRIBUTING.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-03-06 23:02:24,001 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-03-06 23:02:24,002 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-03-06 23:02:24,003 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-03-06 23:02:24,004 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-03-06 23:02:24,005 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-03-06 23:02:24,005 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,006 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,007 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitlab-ci.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,008 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,009 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/CHANGELOG.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,010 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,010 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,011 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,012 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,013 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,014 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/control_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,015 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/defs_div_sqrt_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,016 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_mvp_wrapper.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,017 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_top_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,018 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/iteration_div_sqrt_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,019 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/norm_div_sqrt_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,020 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/nrbd_nrsc_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,021 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/preprocess_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,021 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document 2024-03-06 23:02:24,022 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document/Datasheet_of_transprecision.pdf -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document 2024-03-06 23:02:24,024 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,025 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_2phase.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,026 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_2phase.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,027 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_gray.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,028 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cf_math_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,029 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/clk_div.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,029 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/counter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,030 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_detect.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,031 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,032 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_rx.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,033 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_tx.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,034 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/exp_backoff.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,035 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fall_through_register.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,036 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fifo_v3.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,037 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/graycode.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,038 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/id_queue.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,038 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,039 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_16bit.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,040 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_8bit.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,041 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lzc.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,042 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/mv_filter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,043 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/onehot_to_bin.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,044 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/plru_tree.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,045 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/popcount.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,046 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rr_arb_tree.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,047 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,048 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen_bypass.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,048 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/serial_deglitch.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,049 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/shift_reg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,050 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/spill_register.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,051 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,052 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,053 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter_flushable.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,054 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_delay.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,055 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_demux.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,056 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_filter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,057 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_fork.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,058 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_mux.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,058 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_register.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,059 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,060 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync_wedge.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,061 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/unread.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,062 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,063 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,063 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,065 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,065 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_fifo_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,115 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/fifo_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,116 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/graycode_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,117 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_synth.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,118 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,119 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/popcount_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,120 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/simulate.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,120 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_arbiter_synth.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,121 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_register_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,122 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,123 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth_bench.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,124 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,125 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,126 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider_counter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,126 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v1.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,127 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v2.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,128 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/find_first_one.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,129 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_LFSR_8bit.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,130 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,131 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo_adv.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,132 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/prioarbiter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,133 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,134 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync_wedge.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,135 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/rrarbiter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,135 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include 2024-03-06 23:02:24,136 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells 2024-03-06 23:02:24,136 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells/registers.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells 2024-03-06 23:02:24,137 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-03-06 23:02:24,138 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_2phase.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-03-06 23:02:24,139 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_2phase.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-03-06 23:02:24,140 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_gray.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-03-06 23:02:24,140 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/id_queue.do -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-03-06 23:02:24,141 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-03-06 23:02:24,142 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/multislice_block.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-03-06 23:02:24,143 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/opgrp_block.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-03-06 23:02:24,144 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/oprecomp_logo_inline1.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-03-06 23:02:24,145 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/slice_block.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-03-06 23:02:24,146 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/top_block.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-03-06 23:02:24,210 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:02:24,210 root INFO running install 2024-03-06 23:02:24,287 root INFO running install_lib 2024-03-06 23:02:24,297 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:02:24,297 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:02:24,298 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p 2024-03-06 23:02:24,298 root INFO copying build/lib/pythondata_cpu_cv32e40p/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p 2024-03-06 23:02:24,299 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,300 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/cv32e40p_manifest.flist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,300 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/cv32e40p_trace_manifest.flist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,301 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,302 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,303 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.travis.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,303 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,304 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/cv32e40p_dm_manifest.flist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,305 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb 2024-03-06 23:02:24,306 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:24,306 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:24,307 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:24,308 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/dp_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:24,309 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/testbench.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:24,310 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:24,310 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:24,311 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-03-06 23:02:24,312 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:24,313 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include 2024-03-06 23:02:24,313 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include/perturbation_defines.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include 2024-03-06 23:02:24,314 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_stall.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:24,315 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/tb_riscv_core.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:24,316 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_simchecker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:24,316 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_interrupt_generator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:24,317 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:24,318 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_perturbation.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-03-06 23:02:24,319 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU 2024-03-06 23:02:24,319 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU 2024-03-06 23:02:24,320 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,321 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/waves.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,321 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/mm_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,322 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/riscv_tb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,323 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:24,324 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/sim_jtag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:24,324 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:24,325 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/rbs_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:24,326 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:24,327 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:24,328 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-03-06 23:02:24,328 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,329 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_test_env.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,330 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.SiFive -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,331 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,331 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,332 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_gui.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,333 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/dp_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,334 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,334 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-03-06 23:02:24,335 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-03-06 23:02:24,336 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-03-06 23:02:24,337 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-03-06 23:02:24,337 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-03-06 23:02:24,338 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_debug.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,339 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,340 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_batch.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,340 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.Berkeley -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,341 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_compliance_test.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,342 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/SimJTAG.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,343 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,343 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,344 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/boot_rom.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-03-06 23:02:24,345 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused 2024-03-06 23:02:24,346 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused/SimDTM.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused 2024-03-06 23:02:24,346 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-03-06 23:02:24,347 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_div.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-03-06 23:02:24,348 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_urem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-03-06 23:02:24,348 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_udiv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-03-06 23:02:24,349 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-03-06 23:02:24,350 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_rem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-03-06 23:02:24,351 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-03-06 23:02:24,351 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/wave.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-03-06 23:02:24,352 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-03-06 23:02:24,353 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/compile.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-03-06 23:02:24,354 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb_nogui.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-03-06 23:02:24,354 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/sim.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-03-06 23:02:24,355 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/scripts 2024-03-06 23:02:24,356 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/scripts/pulptrace -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/scripts 2024-03-06 23:02:24,357 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,357 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/waves.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,358 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/mm_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,359 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/amo_shim.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,360 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-03-06 23:02:24,360 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-03-06 23:02:24,361 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/license_notes -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-03-06 23:02:24,362 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-03-06 23:02:24,363 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-03-06 23:02:24,363 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/riscv-isa-sim.diff -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-03-06 23:02:24,364 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,365 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,366 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-03-06 23:02:24,366 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/main.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-03-06 23:02:24,367 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/matmulNxN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-03-06 23:02:24,368 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,369 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/dp_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,369 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,370 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/software.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,371 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,371 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,372 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,373 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_io.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,374 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LHU-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,375 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-EBREAK-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,375 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JALR-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,376 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/riscv_test.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,377 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LH-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,378 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LB-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,378 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XOR-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,379 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLT-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,380 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SW-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,381 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRLI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,382 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SUB-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,382 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LW-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,383 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LUI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,384 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JAL-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,385 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLL-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,385 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AUIPC-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,386 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGE-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,387 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,388 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRC-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,389 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTIU-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,389 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_size-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,390 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRL-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,391 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XORI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,392 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BEQ-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,393 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ANDI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,394 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-FENCE.I-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,394 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRW-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,395 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRS-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,396 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLTU-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,397 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRA-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,397 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGEU-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,398 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ECALL-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,399 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/test_macros.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,400 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ENDIANESS-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,401 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-03-06 23:02:24,401 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_JMP-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-03-06 23:02:24,402 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-FENCE.I-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-03-06 23:02:24,403 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_LDST-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-03-06 23:02:24,404 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SB-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-03-06 23:02:24,404 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SH-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-03-06 23:02:24,405 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADDI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,406 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRSI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,407 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LBU-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,408 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_width-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,408 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTU-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,409 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLLI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,410 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-IO.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,411 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-NOP-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,412 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRCI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,412 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/aw_test_macros.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,413 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADD-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,414 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_x0-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,415 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-DELAY_SLOTS-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,416 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRAI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,416 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLT-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,417 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-OR-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,418 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BNE-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,419 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AND-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,420 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ORI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,420 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_test.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,421 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRWI-01.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-03-06 23:02:24,422 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-03-06 23:02:24,423 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/vectors.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-03-06 23:02:24,423 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-03-06 23:02:24,424 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/hello_world.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-03-06 23:02:24,425 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-03-06 23:02:24,426 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/crt0.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-03-06 23:02:24,427 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-03-06 23:02:24,427 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,428 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,429 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/div.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,429 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,430 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remuw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,431 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,432 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,432 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,433 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divuw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,434 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,435 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/rem.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,435 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,436 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,437 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhsu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,438 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mul.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-03-06 23:02:24,438 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/riscv_test.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-03-06 23:02:24,439 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros 2024-03-06 23:02:24,440 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar 2024-03-06 23:02:24,440 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar/test_macros.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar 2024-03-06 23:02:24,441 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,442 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/andi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,443 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sub.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,444 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/auipc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,444 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xor.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,445 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,446 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bltu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,447 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lbu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,447 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bgeu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,448 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,449 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/add.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,450 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,450 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,451 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sra.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,452 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,453 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lui.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,454 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,454 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,455 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/beq.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,456 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,457 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bge.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,457 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,458 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/blt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,459 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srl.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,460 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/and.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,460 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltiu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,461 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,462 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slti.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,463 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,463 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srai.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,464 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/simple.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,465 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,466 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sll.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,466 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/fence_i.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,467 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bne.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,468 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,469 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jal.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,469 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jalr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,470 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/or.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,471 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/addi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,472 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/ori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-03-06 23:02:24,473 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,473 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fclass.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,474 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,475 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/recoding.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,475 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,476 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/ldst.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,477 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,478 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,479 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/move.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,479 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmin.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,480 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,481 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,482 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fdiv.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-03-06 23:02:24,482 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-03-06 23:02:24,483 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:24,484 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomaxu_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:24,485 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoswap_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:24,485 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoand_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:24,486 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amominu_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:24,487 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoor_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:24,488 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:24,488 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/lrsc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:24,489 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoadd_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:24,490 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomax_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:24,491 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomin_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:24,491 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoxor_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-03-06 23:02:24,492 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:24,493 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/wfi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:24,494 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:24,494 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/dirty.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:24,495 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/csr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:24,496 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/scall.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:24,497 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/sbreak.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:24,497 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/ma_fetch.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-03-06 23:02:24,498 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,499 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fclass.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,499 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,500 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/recoding.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,501 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,502 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/ldst.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,502 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,503 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,504 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/move.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,505 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmin.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,506 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,506 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,507 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fdiv.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-03-06 23:02:24,508 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:24,508 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/div.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:24,509 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/divu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:24,510 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:24,511 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:24,512 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/remu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:24,512 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/rem.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:24,513 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:24,514 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhsu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:24,515 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mul.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-03-06 23:02:24,516 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-03-06 23:02:24,516 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/rvc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-03-06 23:02:24,517 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-03-06 23:02:24,518 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,518 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fclass.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,519 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,520 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/recoding.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,520 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,521 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/ldst.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,522 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,523 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,523 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/move.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,524 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmin.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,525 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,526 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,526 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fdiv.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-03-06 23:02:24,527 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:24,528 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/illegal.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:24,529 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/mcsr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:24,529 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_addr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:24,530 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:24,531 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/csr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:24,532 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/shamt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:24,532 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/scall.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:24,533 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/breakpoint.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:24,534 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/sbreak.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:24,535 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_fetch.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-03-06 23:02:24,536 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,536 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fclass.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,537 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,538 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/recoding.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,538 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,539 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/ldst.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,540 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,541 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,541 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/move.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,542 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmin.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,543 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,544 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmadd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,544 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fdiv.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,545 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/structural.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-03-06 23:02:24,546 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-03-06 23:02:24,547 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/rvc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-03-06 23:02:24,547 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-03-06 23:02:24,548 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:24,549 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/illegal.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:24,550 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/mcsr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:24,550 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_addr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:24,551 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:24,552 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/csr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:24,553 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/scall.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:24,554 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/breakpoint.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:24,554 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/access.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:24,555 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/sbreak.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:24,556 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_fetch.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-03-06 23:02:24,557 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:24,557 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/wfi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:24,558 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:24,559 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/dirty.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:24,560 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/csr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:24,560 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/scall.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:24,561 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/sbreak.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:24,562 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/ma_fetch.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-03-06 23:02:24,563 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-03-06 23:02:24,564 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,564 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,565 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,566 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,566 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,567 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,568 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,569 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,570 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,570 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,571 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,572 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/lrsc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,573 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,573 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,574 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,575 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,576 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,577 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,577 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,578 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_d.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,579 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_w.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-03-06 23:02:24,580 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,580 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/andi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,581 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sub.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,582 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/auipc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,583 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srlw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,584 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xor.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,584 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/subw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,585 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,586 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bltu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,587 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lbu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,587 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bgeu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,588 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,589 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/add.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,590 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,591 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,591 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sd.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,592 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sra.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,593 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,594 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lui.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,594 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,595 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,596 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,597 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/beq.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,597 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,598 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ld.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,599 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addiw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,600 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bge.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,601 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/Makefrag -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,601 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/blt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,602 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srl.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,603 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraiw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,604 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/and.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,604 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lwu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,605 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltiu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,606 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,607 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slti.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,608 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,608 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srai.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,609 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/simple.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,610 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,611 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slliw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,611 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sll.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,612 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/fence_i.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,613 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,614 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bne.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,615 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,615 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jal.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,616 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jalr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,617 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srliw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,618 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/or.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,618 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,619 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,620 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sllw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-03-06 23:02:24,621 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/vsim.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,621 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,622 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-03-06 23:02:24,623 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/isr.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-03-06 23:02:24,623 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/vectors.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-03-06 23:02:24,624 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/interrupt_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-03-06 23:02:24,625 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/matrix.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-03-06 23:02:24,626 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:24,627 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/makehex.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:24,627 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/firmware.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:24,628 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/print.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:24,629 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld.orig -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:24,630 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:24,631 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/stats.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:24,631 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:24,632 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/sieve.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:24,633 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:24,634 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:24,634 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/multest.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-03-06 23:02:24,635 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-03-06 23:02:24,636 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.dir-locals.el -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,637 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,638 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,638 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,639 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_cs_registers.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,640 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_compressed_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,641 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:24,642 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:24,642 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_wrap.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:24,643 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:24,644 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:24,644 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_sba.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:24,645 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:24,646 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag_tap.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:24,647 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:24,648 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_cdc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:24,648 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_csrs.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-03-06 23:02:24,649 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE.SiFive -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:24,650 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:24,651 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/.travis.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:24,652 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:24,653 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,653 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/waves.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,654 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/mm_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,655 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:24,655 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/sim_jtag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:24,656 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:24,657 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/rbs_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:24,658 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:24,658 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:24,659 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-03-06 23:02:24,660 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,661 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_test_env.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,662 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.SiFive -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,662 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,663 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,664 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_compliance_test.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,665 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_gui.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,665 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dp_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,666 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,667 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-03-06 23:02:24,668 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/vectors.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-03-06 23:02:24,668 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-03-06 23:02:24,669 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-03-06 23:02:24,670 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-03-06 23:02:24,671 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/crt0.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-03-06 23:02:24,671 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_debug.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,672 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,673 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_batch.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,674 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.Berkeley -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,674 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/SimJTAG.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,675 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,676 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_tb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,677 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,678 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/boot_rom.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-03-06 23:02:24,678 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused 2024-03-06 23:02:24,679 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused/SimDTM.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused 2024-03-06 23:02:24,680 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:24,680 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/veri-run-openocd-compliance.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:24,681 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/get-openocd.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:24,682 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/make-tmp.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:24,683 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/install-verilator.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:24,683 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/download-pulp-gcc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:24,684 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/run-openocd-compliance.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:24,685 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/openocd-to-junit.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-03-06 23:02:24,686 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:24,686 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:24,687 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:24,688 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/gen_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:24,689 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:24,689 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:24,690 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:24,691 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:24,692 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:24,692 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/encoding.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:24,693 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-03-06 23:02:24,694 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-03-06 23:02:24,695 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-03-06 23:02:24,695 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debug-system.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-03-06 23:02:24,696 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debugsys_schematic.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-03-06 23:02:24,697 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-03-06 23:02:24,698 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/CHANGELOG.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-03-06 23:02:24,699 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-03-06 23:02:24,699 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_config.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-03-06 23:02:24,700 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_tracer_defines.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-03-06 23:02:24,701 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_defines.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-03-06 23:02:24,701 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_macros.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-03-06 23:02:24,702 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_core_package.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-03-06 23:02:24,703 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/cv32e40p_sim_clock_gate.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,704 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,705 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_load_store_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,705 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:24,706 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-03-06 23:02:24,707 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_tb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-03-06 23:02:24,707 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/.gitkeep -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-03-06 23:02:24,708 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-03-06 23:02:24,709 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-03-06 23:02:24,710 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:24,710 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/driver_example.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:24,711 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:24,712 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:24,713 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_data_trace_debugger.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:24,713 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/config.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:24,714 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:24,715 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:24,716 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-03-06 23:02:24,716 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/pulp-notes.org -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-03-06 23:02:24,717 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-03-06 23:02:24,718 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/range.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-03-06 23:02:24,719 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-03-06 23:02:24,719 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/config.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-03-06 23:02:24,720 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-03-06 23:02:24,721 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-03-06 23:02:24,721 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-03-06 23:02:24,722 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-03-06 23:02:24,723 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/interrupt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-03-06 23:02:24,724 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-03-06 23:02:24,724 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/ll_driver.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-03-06 23:02:24,725 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-03-06 23:02:24,726 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-03-06 23:02:24,727 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-03-06 23:02:24,727 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:24,728 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:24,729 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:24,730 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:24,730 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:24,731 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scoreboard.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:24,732 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:24,733 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli 2024-03-06 23:02:24,733 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli/test -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli 2024-03-06 23:02:24,734 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:24,735 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/response.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:24,736 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:24,736 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/driver.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:24,737 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/.gitkeep -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:24,738 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/reader.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:24,739 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:24,739 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-03-06 23:02:24,740 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy 2024-03-06 23:02:24,741 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy/apb_bus_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy 2024-03-06 23:02:24,742 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts 2024-03-06 23:02:24,742 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts/vsim.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts 2024-03-06 23:02:24,743 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:24,744 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves 2024-03-06 23:02:24,744 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves/trace_debugger.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves 2024-03-06 23:02:24,745 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,746 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_priority.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,746 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_packet_emitter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,747 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,748 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,749 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_reg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,750 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_timer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,751 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align8.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,751 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,752 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_reg_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,753 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_filter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,754 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_lzc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,754 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_apb_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,755 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger_stimuli_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,756 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_branch_map.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-03-06 23:02:24,757 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-03-06 23:02:24,757 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/Jenkinsfile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-03-06 23:02:24,758 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/run-hw-tests.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-03-06 23:02:24,759 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64 2024-03-06 23:02:24,759 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64/.gitkeep -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64 2024-03-06 23:02:24,760 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test 2024-03-06 23:02:24,761 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test/.gitkeep -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test 2024-03-06 23:02:24,762 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/README.org -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:24,762 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-03-06 23:02:24,763 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc 2024-03-06 23:02:24,764 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc/.gitkeep -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc 2024-03-06 23:02:24,764 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_int_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,765 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_if_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,766 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_fetch_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,767 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,768 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file_latch.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,768 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_mult.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,769 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_L0_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,770 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_regs.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,771 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/register_file_test_wrap.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,771 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_ex_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,772 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,773 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_pmp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,774 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_L0_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,775 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,776 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_id_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,777 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,777 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu_div.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,778 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:24,779 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,780 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_block.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,780 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,781 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,782 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_multifmt_slice.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,783 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-03-06 23:02:24,784 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-03-06 23:02:24,784 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,785 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_top_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,786 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,786 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/iteration_div_sqrt_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,787 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/nrbd_nrsc_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,788 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/norm_div_sqrt_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,789 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/defs_div_sqrt_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,790 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/preprocess_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,790 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/control_mvp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,792 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_mvp_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-03-06 23:02:24,792 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-03-06 23:02:24,793 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-03-06 23:02:24,794 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document 2024-03-06 23:02:24,795 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document/Datasheet_of_transprecision.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document 2024-03-06 23:02:24,797 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_cast_multi.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,798 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma_multi.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,799 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_divsqrt_multi.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,800 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_rounding.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,801 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,801 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,802 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/mv_filter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,803 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_fork.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,803 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,804 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/graycode.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,805 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_rx.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,806 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/shift_reg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,807 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,808 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_filter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,808 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_2phase.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,809 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_detect.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,810 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/plru_tree.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,811 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_mux.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,811 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,812 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lzc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,813 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync_wedge.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,814 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_gray.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,815 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/unread.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,815 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_demux.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,816 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,817 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_8bit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,818 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,819 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,819 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,820 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v2.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,821 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,821 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider_counter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,822 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_LFSR_8bit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,823 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v1.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,824 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/rrarbiter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,825 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/find_first_one.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,825 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo_adv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,826 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/prioarbiter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,827 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,828 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync_wedge.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-03-06 23:02:24,829 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_16bit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,829 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/exp_backoff.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,830 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/clk_div.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,831 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/popcount.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,832 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rr_arb_tree.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,833 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,833 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_tx.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,834 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/onehot_to_bin.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,835 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen_bypass.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,836 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/id_queue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,836 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/counter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,837 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fifo_v3.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,838 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_register.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,839 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fall_through_register.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,839 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/serial_deglitch.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,840 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/spill_register.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,841 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_2phase.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,842 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter_flushable.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,843 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_delay.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,843 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cf_math_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-03-06 23:02:24,844 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include 2024-03-06 23:02:24,845 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells 2024-03-06 23:02:24,845 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells/registers.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells 2024-03-06 23:02:24,846 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,847 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,848 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,849 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,849 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,850 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,851 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,852 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_fifo_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,853 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/graycode_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,853 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_arbiter_synth.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,854 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_register_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,855 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/simulate.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,856 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,856 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth_bench.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,857 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/popcount_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,858 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,859 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-03-06 23:02:24,859 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/id_queue.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-03-06 23:02:24,860 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_2phase.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-03-06 23:02:24,861 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_2phase.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-03-06 23:02:24,862 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_gray.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-03-06 23:02:24,862 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_synth.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,863 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,864 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/fifo_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,865 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-03-06 23:02:24,866 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitlab-ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,866 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/CHANGELOG.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-03-06 23:02:24,867 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_noncomp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,868 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_fmt_slice.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,869 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_classifier.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,870 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-03-06 23:02:24,870 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:24,871 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:24,872 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:24,873 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/ips_list.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:24,874 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:24,874 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:24,875 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-03-06 23:02:24,876 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-03-06 23:02:24,876 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CODEOWNERS -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-03-06 23:02:24,877 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-03-06 23:02:24,878 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/oprecomp_logo_inline1.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-03-06 23:02:24,879 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/multislice_block.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-03-06 23:02:24,879 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/top_block.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-03-06 23:02:24,880 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/slice_block.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-03-06 23:02:24,881 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/opgrp_block.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-03-06 23:02:24,882 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-03-06 23:02:24,883 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-03-06 23:02:24,883 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CHANGELOG.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-03-06 23:02:24,884 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_core.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,885 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,886 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_apu_disp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-03-06 23:02:24,887 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/cv32e40p_fpu_manifest.flist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,887 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/pd 2024-03-06 23:02:24,888 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/pd/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/pd 2024-03-06 23:02:24,889 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:24,889 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/Jenkinsfile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:24,890 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/veri-run-openocd-compliance.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:24,891 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/get-openocd.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:24,892 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/make-tmp.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:24,892 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/install-verilator.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:24,893 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/download-pulp-gcc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:24,894 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/build-riscv-gcc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:24,895 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/rv32tests-to-junit.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:24,895 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/run-openocd-compliance.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:24,896 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/openocd-to-junit.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-03-06 23:02:24,897 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,898 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,898 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,899 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.gitlab-ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,900 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-03-06 23:02:24,901 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/doc 2024-03-06 23:02:24,901 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/doc/NONSECURED_RI5CY_DEBUG_reference.xlsx -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/doc 2024-03-06 23:02:24,902 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/doc/SECURED_RI5CY_DEBUG_reference.xlsx -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/doc 2024-03-06 23:02:24,903 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/doc/user_manual.doc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p/system_verilog/doc 2024-03-06 23:02:24,907 root INFO running install_egg_info 2024-03-06 23:02:24,926 root INFO Copying pythondata_cpu_cv32e40p.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p-0.0.post152-py3.11.egg-info 2024-03-06 23:02:24,930 root INFO running install_scripts 2024-03-06 23:02:24,939 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e40p-0.0.post152.dist-info/WHEEL 2024-03-06 23:02:24,942 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-zf4s3ytb/pythondata_cpu_cv32e40p-0.0.post152-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:02:24,945 wheel INFO adding 'pythondata_cpu_cv32e40p/__init__.py' 2024-03-06 23:02:24,949 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.dir-locals.el' 2024-03-06 23:02:24,950 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.gitignore' 2024-03-06 23:02:24,951 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.gitlab-ci.yml' 2024-03-06 23:02:24,952 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.gitmodules' 2024-03-06 23:02:24,953 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.travis.yml' 2024-03-06 23:02:24,954 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/Bender.yml' 2024-03-06 23:02:24,956 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/CONTRIBUTING.md' 2024-03-06 23:02:24,957 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/LICENSE' 2024-03-06 23:02:24,958 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/Makefile' 2024-03-06 23:02:24,959 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/README.md' 2024-03-06 23:02:24,960 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/cv32e40p_dm_manifest.flist' 2024-03-06 23:02:24,961 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/cv32e40p_fpu_manifest.flist' 2024-03-06 23:02:24,962 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/cv32e40p_manifest.flist' 2024-03-06 23:02:24,963 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/cv32e40p_trace_manifest.flist' 2024-03-06 23:02:24,964 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/src_files.yml' 2024-03-06 23:02:24,965 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/Jenkinsfile' 2024-03-06 23:02:24,966 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/build-riscv-gcc.sh' 2024-03-06 23:02:24,967 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/download-pulp-gcc.sh' 2024-03-06 23:02:24,968 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/get-openocd.sh' 2024-03-06 23:02:24,969 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/install-verilator.sh' 2024-03-06 23:02:24,969 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/make-tmp.sh' 2024-03-06 23:02:24,970 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/openocd-to-junit.py' 2024-03-06 23:02:24,971 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/run-openocd-compliance.sh' 2024-03-06 23:02:24,972 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/rv32tests-to-junit.py' 2024-03-06 23:02:24,973 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/veri-run-openocd-compliance.sh' 2024-03-06 23:02:24,975 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/doc/NONSECURED_RI5CY_DEBUG_reference.xlsx' 2024-03-06 23:02:24,976 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/doc/SECURED_RI5CY_DEBUG_reference.xlsx' 2024-03-06 23:02:24,982 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/doc/user_manual.doc' 2024-03-06 23:02:25,005 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/pd/README.md' 2024-03-06 23:02:25,006 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/cv32e40p_sim_clock_gate.sv' 2024-03-06 23:02:25,007 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/register_file_test_wrap.sv' 2024-03-06 23:02:25,008 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_L0_buffer.sv' 2024-03-06 23:02:25,010 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu.sv' 2024-03-06 23:02:25,011 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu_div.sv' 2024-03-06 23:02:25,012 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_apu_disp.sv' 2024-03-06 23:02:25,014 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_compressed_decoder.sv' 2024-03-06 23:02:25,015 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_controller.sv' 2024-03-06 23:02:25,016 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_core.sv' 2024-03-06 23:02:25,018 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_cs_registers.sv' 2024-03-06 23:02:25,020 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_decoder.sv' 2024-03-06 23:02:25,023 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_ex_stage.sv' 2024-03-06 23:02:25,024 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_fetch_fifo.sv' 2024-03-06 23:02:25,025 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_controller.sv' 2024-03-06 23:02:25,026 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_regs.sv' 2024-03-06 23:02:25,027 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_id_stage.sv' 2024-03-06 23:02:25,029 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_if_stage.sv' 2024-03-06 23:02:25,030 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_int_controller.sv' 2024-03-06 23:02:25,031 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_load_store_unit.sv' 2024-03-06 23:02:25,033 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_mult.sv' 2024-03-06 23:02:25,034 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_pmp.sv' 2024-03-06 23:02:25,036 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_L0_buffer.sv' 2024-03-06 23:02:25,037 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_buffer.sv' 2024-03-06 23:02:25,038 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file.sv' 2024-03-06 23:02:25,039 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file_latch.sv' 2024-03-06 23:02:25,040 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_tracer.sv' 2024-03-06 23:02:25,042 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitignore' 2024-03-06 23:02:25,043 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitmodules' 2024-03-06 23:02:25,044 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/Bender.yml' 2024-03-06 23:02:25,045 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/LICENSE' 2024-03-06 23:02:25,046 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/README.md' 2024-03-06 23:02:25,047 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/ips_list.yml' 2024-03-06 23:02:25,048 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src_files.yml' 2024-03-06 23:02:25,049 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CHANGELOG.md' 2024-03-06 23:02:25,050 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CODEOWNERS' 2024-03-06 23:02:25,051 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CONTRIBUTING.md' 2024-03-06 23:02:25,052 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/README.md' 2024-03-06 23:02:25,054 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/multislice_block.png' 2024-03-06 23:02:25,055 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/opgrp_block.png' 2024-03-06 23:02:25,056 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/oprecomp_logo_inline1.png' 2024-03-06 23:02:25,058 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/slice_block.png' 2024-03-06 23:02:25,059 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/top_block.png' 2024-03-06 23:02:25,061 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_cast_multi.sv' 2024-03-06 23:02:25,062 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_classifier.sv' 2024-03-06 23:02:25,063 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_divsqrt_multi.sv' 2024-03-06 23:02:25,064 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma.sv' 2024-03-06 23:02:25,066 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma_multi.sv' 2024-03-06 23:02:25,068 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_noncomp.sv' 2024-03-06 23:02:25,069 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_block.sv' 2024-03-06 23:02:25,070 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_fmt_slice.sv' 2024-03-06 23:02:25,071 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_multifmt_slice.sv' 2024-03-06 23:02:25,073 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_pkg.sv' 2024-03-06 23:02:25,074 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_rounding.sv' 2024-03-06 23:02:25,075 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_top.sv' 2024-03-06 23:02:25,076 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitignore' 2024-03-06 23:02:25,077 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitlab-ci.yml' 2024-03-06 23:02:25,078 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/Bender.yml' 2024-03-06 23:02:25,079 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/CHANGELOG.md' 2024-03-06 23:02:25,080 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/LICENSE' 2024-03-06 23:02:25,082 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/README.md' 2024-03-06 23:02:25,083 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src_files.yml' 2024-03-06 23:02:25,084 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells/registers.svh' 2024-03-06 23:02:25,086 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_2phase.sv' 2024-03-06 23:02:25,087 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_2phase.sv' 2024-03-06 23:02:25,088 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_gray.sv' 2024-03-06 23:02:25,089 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cf_math_pkg.sv' 2024-03-06 23:02:25,090 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/clk_div.sv' 2024-03-06 23:02:25,091 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/counter.sv' 2024-03-06 23:02:25,092 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_detect.sv' 2024-03-06 23:02:25,093 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator.sv' 2024-03-06 23:02:25,094 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_rx.sv' 2024-03-06 23:02:25,095 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_tx.sv' 2024-03-06 23:02:25,096 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/exp_backoff.sv' 2024-03-06 23:02:25,097 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fall_through_register.sv' 2024-03-06 23:02:25,098 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fifo_v3.sv' 2024-03-06 23:02:25,099 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/graycode.sv' 2024-03-06 23:02:25,100 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/id_queue.sv' 2024-03-06 23:02:25,101 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr.sv' 2024-03-06 23:02:25,102 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_16bit.sv' 2024-03-06 23:02:25,103 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_8bit.sv' 2024-03-06 23:02:25,104 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lzc.sv' 2024-03-06 23:02:25,105 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/mv_filter.sv' 2024-03-06 23:02:25,106 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/onehot_to_bin.sv' 2024-03-06 23:02:25,107 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/plru_tree.sv' 2024-03-06 23:02:25,108 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/popcount.sv' 2024-03-06 23:02:25,109 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rr_arb_tree.sv' 2024-03-06 23:02:25,110 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen.sv' 2024-03-06 23:02:25,111 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen_bypass.sv' 2024-03-06 23:02:25,112 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/serial_deglitch.sv' 2024-03-06 23:02:25,113 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/shift_reg.sv' 2024-03-06 23:02:25,114 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/spill_register.sv' 2024-03-06 23:02:25,115 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sram.sv' 2024-03-06 23:02:25,116 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter.sv' 2024-03-06 23:02:25,117 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter_flushable.sv' 2024-03-06 23:02:25,118 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_delay.sv' 2024-03-06 23:02:25,119 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_demux.sv' 2024-03-06 23:02:25,120 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_filter.sv' 2024-03-06 23:02:25,121 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_fork.sv' 2024-03-06 23:02:25,122 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_mux.sv' 2024-03-06 23:02:25,123 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_register.sv' 2024-03-06 23:02:25,124 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync.sv' 2024-03-06 23:02:25,125 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync_wedge.sv' 2024-03-06 23:02:25,126 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/unread.sv' 2024-03-06 23:02:25,127 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider.sv' 2024-03-06 23:02:25,129 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider_counter.sv' 2024-03-06 23:02:25,130 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v1.sv' 2024-03-06 23:02:25,131 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v2.sv' 2024-03-06 23:02:25,132 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/find_first_one.sv' 2024-03-06 23:02:25,133 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_LFSR_8bit.sv' 2024-03-06 23:02:25,134 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo.sv' 2024-03-06 23:02:25,135 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo_adv.sv' 2024-03-06 23:02:25,136 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/prioarbiter.sv' 2024-03-06 23:02:25,137 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync.sv' 2024-03-06 23:02:25,138 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync_wedge.sv' 2024-03-06 23:02:25,139 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/rrarbiter.sv' 2024-03-06 23:02:25,140 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.sv' 2024-03-06 23:02:25,141 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.tcl' 2024-03-06 23:02:25,142 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_tb.sv' 2024-03-06 23:02:25,143 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_fifo_tb.sv' 2024-03-06 23:02:25,144 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/fifo_tb.sv' 2024-03-06 23:02:25,145 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/graycode_tb.sv' 2024-03-06 23:02:25,146 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_synth.sv' 2024-03-06 23:02:25,147 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_tb.sv' 2024-03-06 23:02:25,148 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/popcount_tb.sv' 2024-03-06 23:02:25,150 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/simulate.sh' 2024-03-06 23:02:25,150 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_arbiter_synth.sv' 2024-03-06 23:02:25,151 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_register_tb.sv' 2024-03-06 23:02:25,152 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth.sh' 2024-03-06 23:02:25,153 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth_bench.sv' 2024-03-06 23:02:25,155 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_2phase.tcl' 2024-03-06 23:02:25,156 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_2phase.tcl' 2024-03-06 23:02:25,157 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_gray.tcl' 2024-03-06 23:02:25,158 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/id_queue.do' 2024-03-06 23:02:25,159 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/Bender.yml' 2024-03-06 23:02:25,160 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/LICENSE' 2024-03-06 23:02:25,161 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/src_files.yml' 2024-03-06 23:02:25,164 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document/Datasheet_of_transprecision.pdf' 2024-03-06 23:02:25,176 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/.gitignore' 2024-03-06 23:02:25,178 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/control_mvp.sv' 2024-03-06 23:02:25,181 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/defs_div_sqrt_mvp.sv' 2024-03-06 23:02:25,182 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_mvp_wrapper.sv' 2024-03-06 23:02:25,183 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_top_mvp.sv' 2024-03-06 23:02:25,184 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/iteration_div_sqrt_mvp.sv' 2024-03-06 23:02:25,185 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/norm_div_sqrt_mvp.sv' 2024-03-06 23:02:25,187 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/nrbd_nrsc_mvp.sv' 2024-03-06 23:02:25,188 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/preprocess_mvp.sv' 2024-03-06 23:02:25,189 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_core_package.sv' 2024-03-06 23:02:25,190 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_macros.sv' 2024-03-06 23:02:25,191 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_config.sv' 2024-03-06 23:02:25,192 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_defines.sv' 2024-03-06 23:02:25,193 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_tracer_defines.sv' 2024-03-06 23:02:25,195 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/.travis.yml' 2024-03-06 23:02:25,196 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/Bender.yml' 2024-03-06 23:02:25,197 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/CHANGELOG.md' 2024-03-06 23:02:25,198 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE' 2024-03-06 23:02:25,199 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE.SiFive' 2024-03-06 23:02:25,200 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/README.md' 2024-03-06 23:02:25,201 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src_files.yml' 2024-03-06 23:02:25,202 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/download-pulp-gcc.sh' 2024-03-06 23:02:25,203 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/get-openocd.sh' 2024-03-06 23:02:25,204 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/install-verilator.sh' 2024-03-06 23:02:25,205 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/make-tmp.sh' 2024-03-06 23:02:25,206 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/openocd-to-junit.py' 2024-03-06 23:02:25,207 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/run-openocd-compliance.sh' 2024-03-06 23:02:25,208 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/veri-run-openocd-compliance.sh' 2024-03-06 23:02:25,209 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/.gitignore' 2024-03-06 23:02:25,210 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/Makefile' 2024-03-06 23:02:25,211 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.S' 2024-03-06 23:02:25,211 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.h' 2024-03-06 23:02:25,212 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.sv' 2024-03-06 23:02:25,214 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/encoding.h' 2024-03-06 23:02:25,215 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/gen_rom.py' 2024-03-06 23:02:25,216 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/link.ld' 2024-03-06 23:02:25,217 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debug-system.md' 2024-03-06 23:02:25,219 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debugsys_schematic.svg' 2024-03-06 23:02:25,220 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.json' 2024-03-06 23:02:25,221 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.svg' 2024-03-06 23:02:25,223 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_csrs.sv' 2024-03-06 23:02:25,225 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_mem.sv' 2024-03-06 23:02:25,226 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_pkg.sv' 2024-03-06 23:02:25,227 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_sba.sv' 2024-03-06 23:02:25,228 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_top.sv' 2024-03-06 23:02:25,229 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_wrap.sv' 2024-03-06 23:02:25,230 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_cdc.sv' 2024-03-06 23:02:25,231 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag.sv' 2024-03-06 23:02:25,232 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag_tap.sv' 2024-03-06 23:02:25,234 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.clang-format' 2024-03-06 23:02:25,234 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.gitignore' 2024-03-06 23:02:25,235 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.Berkeley' 2024-03-06 23:02:25,236 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.SiFive' 2024-03-06 23:02:25,238 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/Makefile' 2024-03-06 23:02:25,239 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/README.md' 2024-03-06 23:02:25,240 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/SimJTAG.sv' 2024-03-06 23:02:25,241 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/boot_rom.sv' 2024-03-06 23:02:25,242 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_compliance_test.cfg' 2024-03-06 23:02:25,243 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_debug.cfg' 2024-03-06 23:02:25,244 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_tb_pkg.sv' 2024-03-06 23:02:25,244 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dp_ram.sv' 2024-03-06 23:02:25,246 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/mm_ram.sv' 2024-03-06 23:02:25,247 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_test_env.sv' 2024-03-06 23:02:25,248 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top.sv' 2024-03-06 23:02:25,249 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.cpp' 2024-03-06 23:02:25,250 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.sv' 2024-03-06 23:02:25,251 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_batch.tcl' 2024-03-06 23:02:25,252 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_gui.tcl' 2024-03-06 23:02:25,253 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/waves.tcl' 2024-03-06 23:02:25,254 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/crt0.S' 2024-03-06 23:02:25,255 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/link.ld' 2024-03-06 23:02:25,257 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/syscalls.c' 2024-03-06 23:02:25,258 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/test.c' 2024-03-06 23:02:25,259 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/vectors.S' 2024-03-06 23:02:25,260 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/.gitignore' 2024-03-06 23:02:25,261 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/Makefile' 2024-03-06 23:02:25,262 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/rbs_test.c' 2024-03-06 23:02:25,263 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.c' 2024-03-06 23:02:25,264 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.h' 2024-03-06 23:02:25,265 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/sim_jtag.c' 2024-03-06 23:02:25,266 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused/SimDTM.sv' 2024-03-06 23:02:25,267 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitignore' 2024-03-06 23:02:25,268 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitmodules' 2024-03-06 23:02:25,269 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/LICENSE' 2024-03-06 23:02:25,270 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/Makefile' 2024-03-06 23:02:25,271 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/README.org' 2024-03-06 23:02:25,272 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/src_files.yml' 2024-03-06 23:02:25,273 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/Jenkinsfile' 2024-03-06 23:02:25,274 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/run-hw-tests.sh' 2024-03-06 23:02:25,275 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc/.gitkeep' 2024-03-06 23:02:25,276 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/Makefile' 2024-03-06 23:02:25,277 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/pulp-notes.org' 2024-03-06 23:02:25,278 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/.clang-format' 2024-03-06 23:02:25,279 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/Makefile' 2024-03-06 23:02:25,280 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/config.json' 2024-03-06 23:02:25,281 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/range.c' 2024-03-06 23:02:25,282 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/.clang-format' 2024-03-06 23:02:25,283 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/Makefile' 2024-03-06 23:02:25,284 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/ll_driver.c' 2024-03-06 23:02:25,285 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/.clang-format' 2024-03-06 23:02:25,286 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/Makefile' 2024-03-06 23:02:25,287 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/config.json' 2024-03-06 23:02:25,288 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/driver_example.c' 2024-03-06 23:02:25,289 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_data_trace_debugger.h' 2024-03-06 23:02:25,290 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_test.c' 2024-03-06 23:02:25,291 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.c' 2024-03-06 23:02:25,292 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.h' 2024-03-06 23:02:25,293 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/.clang-format' 2024-03-06 23:02:25,294 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/Makefile' 2024-03-06 23:02:25,295 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/interrupt.c' 2024-03-06 23:02:25,296 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/.gitkeep' 2024-03-06 23:02:25,298 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_pkg.sv' 2024-03-06 23:02:25,299 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_tb_pkg.sv' 2024-03-06 23:02:25,300 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger.sv' 2024-03-06 23:02:25,302 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger_stimuli_gen.sv' 2024-03-06 23:02:25,303 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_if.sv' 2024-03-06 23:02:25,304 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_reg_if.sv' 2024-03-06 23:02:25,305 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align.sv' 2024-03-06 23:02:25,306 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align8.sv' 2024-03-06 23:02:25,307 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_apb_if.sv' 2024-03-06 23:02:25,308 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_branch_map.sv' 2024-03-06 23:02:25,309 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_filter.sv' 2024-03-06 23:02:25,310 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_lzc.sv' 2024-03-06 23:02:25,311 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_packet_emitter.sv' 2024-03-06 23:02:25,312 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_priority.sv' 2024-03-06 23:02:25,313 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_reg.sv' 2024-03-06 23:02:25,314 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_timer.sv' 2024-03-06 23:02:25,315 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/.gitkeep' 2024-03-06 23:02:25,316 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/Makefile' 2024-03-06 23:02:25,317 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/driver.svh' 2024-03-06 23:02:25,318 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/reader.svh' 2024-03-06 23:02:25,319 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/response.svh' 2024-03-06 23:02:25,320 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scoreboard.svh' 2024-03-06 23:02:25,321 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli.svh' 2024-03-06 23:02:25,322 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_if.sv' 2024-03-06 23:02:25,324 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_wrapper.sv' 2024-03-06 23:02:25,325 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb.sv' 2024-03-06 23:02:25,326 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb_top.sv' 2024-03-06 23:02:25,327 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy/apb_bus_if.sv' 2024-03-06 23:02:25,329 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts/vsim.tcl' 2024-03-06 23:02:25,330 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli/test' 2024-03-06 23:02:25,331 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test/.gitkeep' 2024-03-06 23:02:25,332 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64/.gitkeep' 2024-03-06 23:02:25,333 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves/trace_debugger.tcl' 2024-03-06 23:02:25,334 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/.clang-format' 2024-03-06 23:02:25,335 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/.gitignore' 2024-03-06 23:02:25,336 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/Makefile' 2024-03-06 23:02:25,337 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/README.md' 2024-03-06 23:02:25,339 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/amo_shim.sv' 2024-03-06 23:02:25,340 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/dp_ram.sv' 2024-03-06 23:02:25,341 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/mm_ram.sv' 2024-03-06 23:02:25,342 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_wrapper.sv' 2024-03-06 23:02:25,343 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/software.tcl' 2024-03-06 23:02:25,344 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top.sv' 2024-03-06 23:02:25,345 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.cpp' 2024-03-06 23:02:25,346 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.sv' 2024-03-06 23:02:25,347 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/vsim.tcl' 2024-03-06 23:02:25,348 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/waves.tcl' 2024-03-06 23:02:25,349 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/license_notes' 2024-03-06 23:02:25,350 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/link.ld' 2024-03-06 23:02:25,351 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/riscv-isa-sim.diff' 2024-03-06 23:02:25,352 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/start.S' 2024-03-06 23:02:25,353 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/syscalls.c' 2024-03-06 23:02:25,354 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/crt0.S' 2024-03-06 23:02:25,355 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/hello_world.c' 2024-03-06 23:02:25,356 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/link.ld' 2024-03-06 23:02:25,358 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/syscalls.c' 2024-03-06 23:02:25,359 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/vectors.S' 2024-03-06 23:02:25,360 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/main.c' 2024-03-06 23:02:25,361 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/matmulNxN.c' 2024-03-06 23:02:25,362 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/README' 2024-03-06 23:02:25,363 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/firmware.h' 2024-03-06 23:02:25,364 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/link.ld' 2024-03-06 23:02:25,365 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/makehex.py' 2024-03-06 23:02:25,366 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/multest.c' 2024-03-06 23:02:25,367 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/print.c' 2024-03-06 23:02:25,368 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld' 2024-03-06 23:02:25,369 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld.orig' 2024-03-06 23:02:25,370 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/sieve.c' 2024-03-06 23:02:25,371 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/start.S' 2024-03-06 23:02:25,372 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/stats.c' 2024-03-06 23:02:25,373 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/interrupt_test.c' 2024-03-06 23:02:25,375 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/isr.h' 2024-03-06 23:02:25,376 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/matrix.h' 2024-03-06 23:02:25,377 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/vectors.S' 2024-03-06 23:02:25,378 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADD-01.S' 2024-03-06 23:02:25,379 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADDI-01.S' 2024-03-06 23:02:25,381 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AND-01.S' 2024-03-06 23:02:25,382 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ANDI-01.S' 2024-03-06 23:02:25,383 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AUIPC-01.S' 2024-03-06 23:02:25,384 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BEQ-01.S' 2024-03-06 23:02:25,385 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGE-01.S' 2024-03-06 23:02:25,386 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGEU-01.S' 2024-03-06 23:02:25,388 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLT-01.S' 2024-03-06 23:02:25,389 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLTU-01.S' 2024-03-06 23:02:25,390 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BNE-01.S' 2024-03-06 23:02:25,391 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRC-01.S' 2024-03-06 23:02:25,392 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRCI-01.S' 2024-03-06 23:02:25,393 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRS-01.S' 2024-03-06 23:02:25,394 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRSI-01.S' 2024-03-06 23:02:25,396 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRW-01.S' 2024-03-06 23:02:25,397 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRWI-01.S' 2024-03-06 23:02:25,398 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-DELAY_SLOTS-01.S' 2024-03-06 23:02:25,399 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-EBREAK-01.S' 2024-03-06 23:02:25,400 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ECALL-01.S' 2024-03-06 23:02:25,401 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ENDIANESS-01.S' 2024-03-06 23:02:25,402 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-FENCE.I-01.S' 2024-03-06 23:02:25,403 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-IO.S' 2024-03-06 23:02:25,404 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JAL-01.S' 2024-03-06 23:02:25,405 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JALR-01.S' 2024-03-06 23:02:25,406 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LB-01.S' 2024-03-06 23:02:25,407 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LBU-01.S' 2024-03-06 23:02:25,409 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LH-01.S' 2024-03-06 23:02:25,410 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LHU-01.S' 2024-03-06 23:02:25,411 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LUI-01.S' 2024-03-06 23:02:25,412 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LW-01.S' 2024-03-06 23:02:25,413 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-NOP-01.S' 2024-03-06 23:02:25,414 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-OR-01.S' 2024-03-06 23:02:25,415 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ORI-01.S' 2024-03-06 23:02:25,417 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_size-01.S' 2024-03-06 23:02:25,418 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_width-01.S' 2024-03-06 23:02:25,419 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_x0-01.S' 2024-03-06 23:02:25,420 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLL-01.S' 2024-03-06 23:02:25,421 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLLI-01.S' 2024-03-06 23:02:25,422 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLT-01.S' 2024-03-06 23:02:25,423 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTI-01.S' 2024-03-06 23:02:25,425 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTIU-01.S' 2024-03-06 23:02:25,426 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTU-01.S' 2024-03-06 23:02:25,427 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRA-01.S' 2024-03-06 23:02:25,428 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRAI-01.S' 2024-03-06 23:02:25,429 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRL-01.S' 2024-03-06 23:02:25,430 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRLI-01.S' 2024-03-06 23:02:25,432 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SUB-01.S' 2024-03-06 23:02:25,433 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SW-01.S' 2024-03-06 23:02:25,434 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XOR-01.S' 2024-03-06 23:02:25,435 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XORI-01.S' 2024-03-06 23:02:25,436 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/aw_test_macros.h' 2024-03-06 23:02:25,437 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_io.h' 2024-03-06 23:02:25,439 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_test.h' 2024-03-06 23:02:25,440 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/riscv_test.h' 2024-03-06 23:02:25,441 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/test_macros.h' 2024-03-06 23:02:25,442 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-FENCE.I-01.S' 2024-03-06 23:02:25,443 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_JMP-01.S' 2024-03-06 23:02:25,444 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_LDST-01.S' 2024-03-06 23:02:25,445 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SB-01.S' 2024-03-06 23:02:25,446 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SH-01.S' 2024-03-06 23:02:25,448 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/LICENSE' 2024-03-06 23:02:25,449 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/README.md' 2024-03-06 23:02:25,450 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/riscv_test.h' 2024-03-06 23:02:25,451 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar/test_macros.h' 2024-03-06 23:02:25,452 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/Makefrag' 2024-03-06 23:02:25,453 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/breakpoint.S' 2024-03-06 23:02:25,454 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/csr.S' 2024-03-06 23:02:25,455 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/illegal.S' 2024-03-06 23:02:25,456 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_addr.S' 2024-03-06 23:02:25,457 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_fetch.S' 2024-03-06 23:02:25,458 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/mcsr.S' 2024-03-06 23:02:25,459 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/sbreak.S' 2024-03-06 23:02:25,460 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/scall.S' 2024-03-06 23:02:25,461 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/shamt.S' 2024-03-06 23:02:25,462 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/Makefrag' 2024-03-06 23:02:25,463 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/csr.S' 2024-03-06 23:02:25,464 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/dirty.S' 2024-03-06 23:02:25,465 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/ma_fetch.S' 2024-03-06 23:02:25,466 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/sbreak.S' 2024-03-06 23:02:25,467 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/scall.S' 2024-03-06 23:02:25,468 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/wfi.S' 2024-03-06 23:02:25,469 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/Makefrag' 2024-03-06 23:02:25,470 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoadd_w.S' 2024-03-06 23:02:25,471 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoand_w.S' 2024-03-06 23:02:25,472 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomax_w.S' 2024-03-06 23:02:25,473 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomaxu_w.S' 2024-03-06 23:02:25,474 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomin_w.S' 2024-03-06 23:02:25,475 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amominu_w.S' 2024-03-06 23:02:25,476 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoor_w.S' 2024-03-06 23:02:25,477 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoswap_w.S' 2024-03-06 23:02:25,478 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoxor_w.S' 2024-03-06 23:02:25,479 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/lrsc.S' 2024-03-06 23:02:25,480 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/Makefrag' 2024-03-06 23:02:25,481 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/rvc.S' 2024-03-06 23:02:25,482 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/Makefrag' 2024-03-06 23:02:25,483 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fadd.S' 2024-03-06 23:02:25,484 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fclass.S' 2024-03-06 23:02:25,485 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcmp.S' 2024-03-06 23:02:25,486 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt.S' 2024-03-06 23:02:25,486 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt_w.S' 2024-03-06 23:02:25,487 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fdiv.S' 2024-03-06 23:02:25,488 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmadd.S' 2024-03-06 23:02:25,489 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmin.S' 2024-03-06 23:02:25,490 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/ldst.S' 2024-03-06 23:02:25,491 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/move.S' 2024-03-06 23:02:25,492 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/recoding.S' 2024-03-06 23:02:25,493 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/Makefrag' 2024-03-06 23:02:25,494 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fadd.S' 2024-03-06 23:02:25,495 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fclass.S' 2024-03-06 23:02:25,496 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcmp.S' 2024-03-06 23:02:25,497 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt.S' 2024-03-06 23:02:25,498 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt_w.S' 2024-03-06 23:02:25,499 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fdiv.S' 2024-03-06 23:02:25,500 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmadd.S' 2024-03-06 23:02:25,501 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmin.S' 2024-03-06 23:02:25,502 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/ldst.S' 2024-03-06 23:02:25,503 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/move.S' 2024-03-06 23:02:25,503 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/recoding.S' 2024-03-06 23:02:25,505 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/Makefrag' 2024-03-06 23:02:25,506 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/add.S' 2024-03-06 23:02:25,507 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/addi.S' 2024-03-06 23:02:25,508 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/and.S' 2024-03-06 23:02:25,508 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/andi.S' 2024-03-06 23:02:25,509 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/auipc.S' 2024-03-06 23:02:25,510 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/beq.S' 2024-03-06 23:02:25,511 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bge.S' 2024-03-06 23:02:25,512 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bgeu.S' 2024-03-06 23:02:25,513 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/blt.S' 2024-03-06 23:02:25,514 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bltu.S' 2024-03-06 23:02:25,515 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bne.S' 2024-03-06 23:02:25,516 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/fence_i.S' 2024-03-06 23:02:25,517 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jal.S' 2024-03-06 23:02:25,518 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jalr.S' 2024-03-06 23:02:25,519 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lb.S' 2024-03-06 23:02:25,520 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lbu.S' 2024-03-06 23:02:25,521 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lh.S' 2024-03-06 23:02:25,522 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lhu.S' 2024-03-06 23:02:25,523 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lui.S' 2024-03-06 23:02:25,524 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lw.S' 2024-03-06 23:02:25,525 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/or.S' 2024-03-06 23:02:25,526 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/ori.S' 2024-03-06 23:02:25,526 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sb.S' 2024-03-06 23:02:25,527 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sh.S' 2024-03-06 23:02:25,528 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/simple.S' 2024-03-06 23:02:25,529 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sll.S' 2024-03-06 23:02:25,530 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slli.S' 2024-03-06 23:02:25,531 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slt.S' 2024-03-06 23:02:25,532 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slti.S' 2024-03-06 23:02:25,533 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltiu.S' 2024-03-06 23:02:25,534 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltu.S' 2024-03-06 23:02:25,535 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sra.S' 2024-03-06 23:02:25,536 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srai.S' 2024-03-06 23:02:25,537 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srl.S' 2024-03-06 23:02:25,538 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srli.S' 2024-03-06 23:02:25,539 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sub.S' 2024-03-06 23:02:25,539 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sw.S' 2024-03-06 23:02:25,540 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xor.S' 2024-03-06 23:02:25,541 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xori.S' 2024-03-06 23:02:25,542 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/Makefrag' 2024-03-06 23:02:25,543 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/div.S' 2024-03-06 23:02:25,544 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/divu.S' 2024-03-06 23:02:25,545 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mul.S' 2024-03-06 23:02:25,546 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulh.S' 2024-03-06 23:02:25,547 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhsu.S' 2024-03-06 23:02:25,549 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhu.S' 2024-03-06 23:02:25,550 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/rem.S' 2024-03-06 23:02:25,550 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/remu.S' 2024-03-06 23:02:25,552 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/Makefrag' 2024-03-06 23:02:25,553 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/access.S' 2024-03-06 23:02:25,554 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/breakpoint.S' 2024-03-06 23:02:25,554 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/csr.S' 2024-03-06 23:02:25,555 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/illegal.S' 2024-03-06 23:02:25,556 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_addr.S' 2024-03-06 23:02:25,557 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_fetch.S' 2024-03-06 23:02:25,558 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/mcsr.S' 2024-03-06 23:02:25,559 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/sbreak.S' 2024-03-06 23:02:25,560 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/scall.S' 2024-03-06 23:02:25,561 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/Makefrag' 2024-03-06 23:02:25,562 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/csr.S' 2024-03-06 23:02:25,563 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/dirty.S' 2024-03-06 23:02:25,564 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/ma_fetch.S' 2024-03-06 23:02:25,565 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/sbreak.S' 2024-03-06 23:02:25,566 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/scall.S' 2024-03-06 23:02:25,567 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/wfi.S' 2024-03-06 23:02:25,568 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/Makefrag' 2024-03-06 23:02:25,569 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_d.S' 2024-03-06 23:02:25,570 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_w.S' 2024-03-06 23:02:25,571 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_d.S' 2024-03-06 23:02:25,572 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_w.S' 2024-03-06 23:02:25,573 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_d.S' 2024-03-06 23:02:25,574 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_w.S' 2024-03-06 23:02:25,575 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_d.S' 2024-03-06 23:02:25,576 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_w.S' 2024-03-06 23:02:25,577 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_d.S' 2024-03-06 23:02:25,578 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_w.S' 2024-03-06 23:02:25,579 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_d.S' 2024-03-06 23:02:25,580 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_w.S' 2024-03-06 23:02:25,581 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_d.S' 2024-03-06 23:02:25,582 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_w.S' 2024-03-06 23:02:25,583 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_d.S' 2024-03-06 23:02:25,584 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_w.S' 2024-03-06 23:02:25,584 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_d.S' 2024-03-06 23:02:25,585 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_w.S' 2024-03-06 23:02:25,586 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/lrsc.S' 2024-03-06 23:02:25,587 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/Makefrag' 2024-03-06 23:02:25,588 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/rvc.S' 2024-03-06 23:02:25,590 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/Makefrag' 2024-03-06 23:02:25,591 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fadd.S' 2024-03-06 23:02:25,592 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fclass.S' 2024-03-06 23:02:25,593 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcmp.S' 2024-03-06 23:02:25,594 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt.S' 2024-03-06 23:02:25,595 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt_w.S' 2024-03-06 23:02:25,596 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fdiv.S' 2024-03-06 23:02:25,597 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmadd.S' 2024-03-06 23:02:25,597 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmin.S' 2024-03-06 23:02:25,598 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/ldst.S' 2024-03-06 23:02:25,599 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/move.S' 2024-03-06 23:02:25,600 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/recoding.S' 2024-03-06 23:02:25,601 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/structural.S' 2024-03-06 23:02:25,602 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/Makefrag' 2024-03-06 23:02:25,603 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fadd.S' 2024-03-06 23:02:25,604 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fclass.S' 2024-03-06 23:02:25,605 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcmp.S' 2024-03-06 23:02:25,606 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt.S' 2024-03-06 23:02:25,607 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt_w.S' 2024-03-06 23:02:25,608 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fdiv.S' 2024-03-06 23:02:25,609 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmadd.S' 2024-03-06 23:02:25,610 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmin.S' 2024-03-06 23:02:25,611 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/ldst.S' 2024-03-06 23:02:25,612 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/move.S' 2024-03-06 23:02:25,613 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/recoding.S' 2024-03-06 23:02:25,614 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/Makefrag' 2024-03-06 23:02:25,615 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/add.S' 2024-03-06 23:02:25,617 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addi.S' 2024-03-06 23:02:25,618 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addiw.S' 2024-03-06 23:02:25,619 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addw.S' 2024-03-06 23:02:25,620 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/and.S' 2024-03-06 23:02:25,621 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/andi.S' 2024-03-06 23:02:25,622 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/auipc.S' 2024-03-06 23:02:25,622 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/beq.S' 2024-03-06 23:02:25,623 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bge.S' 2024-03-06 23:02:25,624 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bgeu.S' 2024-03-06 23:02:25,625 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/blt.S' 2024-03-06 23:02:25,626 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bltu.S' 2024-03-06 23:02:25,627 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bne.S' 2024-03-06 23:02:25,628 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/fence_i.S' 2024-03-06 23:02:25,629 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jal.S' 2024-03-06 23:02:25,630 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jalr.S' 2024-03-06 23:02:25,631 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lb.S' 2024-03-06 23:02:25,632 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lbu.S' 2024-03-06 23:02:25,633 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ld.S' 2024-03-06 23:02:25,634 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lh.S' 2024-03-06 23:02:25,635 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lhu.S' 2024-03-06 23:02:25,636 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lui.S' 2024-03-06 23:02:25,637 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lw.S' 2024-03-06 23:02:25,638 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lwu.S' 2024-03-06 23:02:25,639 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/or.S' 2024-03-06 23:02:25,640 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ori.S' 2024-03-06 23:02:25,641 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sb.S' 2024-03-06 23:02:25,642 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sd.S' 2024-03-06 23:02:25,643 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sh.S' 2024-03-06 23:02:25,644 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/simple.S' 2024-03-06 23:02:25,645 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sll.S' 2024-03-06 23:02:25,646 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slli.S' 2024-03-06 23:02:25,647 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slliw.S' 2024-03-06 23:02:25,648 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sllw.S' 2024-03-06 23:02:25,649 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slt.S' 2024-03-06 23:02:25,650 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slti.S' 2024-03-06 23:02:25,651 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltiu.S' 2024-03-06 23:02:25,652 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltu.S' 2024-03-06 23:02:25,653 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sra.S' 2024-03-06 23:02:25,654 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srai.S' 2024-03-06 23:02:25,655 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraiw.S' 2024-03-06 23:02:25,656 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraw.S' 2024-03-06 23:02:25,657 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srl.S' 2024-03-06 23:02:25,658 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srli.S' 2024-03-06 23:02:25,659 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srliw.S' 2024-03-06 23:02:25,660 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srlw.S' 2024-03-06 23:02:25,661 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sub.S' 2024-03-06 23:02:25,662 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/subw.S' 2024-03-06 23:02:25,663 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sw.S' 2024-03-06 23:02:25,664 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xor.S' 2024-03-06 23:02:25,665 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xori.S' 2024-03-06 23:02:25,667 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/Makefrag' 2024-03-06 23:02:25,668 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/div.S' 2024-03-06 23:02:25,668 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divu.S' 2024-03-06 23:02:25,669 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divuw.S' 2024-03-06 23:02:25,670 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divw.S' 2024-03-06 23:02:25,671 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mul.S' 2024-03-06 23:02:25,672 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulh.S' 2024-03-06 23:02:25,673 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhsu.S' 2024-03-06 23:02:25,674 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhu.S' 2024-03-06 23:02:25,676 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulw.S' 2024-03-06 23:02:25,677 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/rem.S' 2024-03-06 23:02:25,678 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remu.S' 2024-03-06 23:02:25,679 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remuw.S' 2024-03-06 23:02:25,680 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remw.S' 2024-03-06 23:02:25,681 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/.clang-format' 2024-03-06 23:02:25,682 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/.gitignore' 2024-03-06 23:02:25,683 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.Berkeley' 2024-03-06 23:02:25,684 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.SiFive' 2024-03-06 23:02:25,685 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/Makefile' 2024-03-06 23:02:25,687 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/README.md' 2024-03-06 23:02:25,688 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/SimJTAG.sv' 2024-03-06 23:02:25,689 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/boot_rom.sv' 2024-03-06 23:02:25,689 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/dp_ram.sv' 2024-03-06 23:02:25,691 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/mm_ram.sv' 2024-03-06 23:02:25,692 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_compliance_test.cfg' 2024-03-06 23:02:25,693 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_debug.cfg' 2024-03-06 23:02:25,694 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/riscv_tb_pkg.sv' 2024-03-06 23:02:25,695 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_test_env.sv' 2024-03-06 23:02:25,696 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top.sv' 2024-03-06 23:02:25,697 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.cpp' 2024-03-06 23:02:25,698 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.sv' 2024-03-06 23:02:25,699 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_batch.tcl' 2024-03-06 23:02:25,700 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_gui.tcl' 2024-03-06 23:02:25,701 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/waves.tcl' 2024-03-06 23:02:25,702 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/link.ld' 2024-03-06 23:02:25,703 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/start.S' 2024-03-06 23:02:25,704 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/syscalls.c' 2024-03-06 23:02:25,705 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/test.c' 2024-03-06 23:02:25,706 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/.gitignore' 2024-03-06 23:02:25,707 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/Makefile' 2024-03-06 23:02:25,708 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/rbs_test.c' 2024-03-06 23:02:25,709 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.c' 2024-03-06 23:02:25,710 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.h' 2024-03-06 23:02:25,711 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/sim_jtag.c' 2024-03-06 23:02:25,712 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused/SimDTM.sv' 2024-03-06 23:02:25,713 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/scripts/pulptrace' 2024-03-06 23:02:25,715 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb.sv' 2024-03-06 23:02:25,716 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_div.sv' 2024-03-06 23:02:25,717 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_rem.sv' 2024-03-06 23:02:25,718 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_udiv.sv' 2024-03-06 23:02:25,719 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_urem.sv' 2024-03-06 23:02:25,720 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/compile.sh' 2024-03-06 23:02:25,721 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/sim.sh' 2024-03-06 23:02:25,722 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb.do' 2024-03-06 23:02:25,723 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb_nogui.do' 2024-03-06 23:02:25,724 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/wave.do' 2024-03-06 23:02:25,725 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU/tb.sv' 2024-03-06 23:02:25,726 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/README.md' 2024-03-06 23:02:25,727 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_perturbation.sv' 2024-03-06 23:02:25,729 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_interrupt_generator.sv' 2024-03-06 23:02:25,730 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_stall.sv' 2024-03-06 23:02:25,731 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_simchecker.sv' 2024-03-06 23:02:25,732 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/tb_riscv_core.sv' 2024-03-06 23:02:25,733 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include/perturbation_defines.sv' 2024-03-06 23:02:25,735 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/.gitignore' 2024-03-06 23:02:25,735 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/Makefile' 2024-03-06 23:02:25,736 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/README.md' 2024-03-06 23:02:25,737 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/dp_ram.sv' 2024-03-06 23:02:25,738 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/ram.sv' 2024-03-06 23:02:25,739 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/testbench.cpp' 2024-03-06 23:02:25,741 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/top.sv' 2024-03-06 23:02:25,743 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/LICENSE' 2024-03-06 23:02:25,744 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/METADATA' 2024-03-06 23:02:25,744 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/WHEEL' 2024-03-06 23:02:25,745 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/top_level.txt' 2024-03-06 23:02:25,770 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/RECORD' 2024-03-06 23:02:25,789 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:02:25,832 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_cv32e40p-0.0.post152-py3-none-any.whl pythondata_cpu_cv32e40p-0.0.post152-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-cv32e41p 2024-03-06 23:02:26,976 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:02:27,186 root INFO running bdist_wheel 2024-03-06 23:02:27,268 root INFO running build 2024-03-06 23:02:27,268 root INFO running build_py 2024-03-06 23:02:27,280 root INFO creating build 2024-03-06 23:02:27,281 root INFO creating build/lib 2024-03-06 23:02:27,281 root INFO creating build/lib/pythondata_cpu_cv32e41p 2024-03-06 23:02:27,282 root INFO copying pythondata_cpu_cv32e41p/__init__.py -> build/lib/pythondata_cpu_cv32e41p 2024-03-06 23:02:27,287 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,287 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,288 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/rv32tests-to-junit.py -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,289 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/openocd-to-junit.py -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,296 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-03-06 23:02:27,297 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,297 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/conf.py -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,300 root INFO running egg_info 2024-03-06 23:02:27,300 root INFO creating pythondata_cpu_cv32e41p.egg-info 2024-03-06 23:02:27,308 root INFO writing pythondata_cpu_cv32e41p.egg-info/PKG-INFO 2024-03-06 23:02:27,310 root INFO writing dependency_links to pythondata_cpu_cv32e41p.egg-info/dependency_links.txt 2024-03-06 23:02:27,312 root INFO writing top-level names to pythondata_cpu_cv32e41p.egg-info/top_level.txt 2024-03-06 23:02:27,313 root INFO writing manifest file 'pythondata_cpu_cv32e41p.egg-info/SOURCES.txt' 2024-03-06 23:02:27,341 root INFO reading manifest file 'pythondata_cpu_cv32e41p.egg-info/SOURCES.txt' 2024-03-06 23:02:27,342 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:02:27,358 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:02:27,358 root INFO adding license file 'LICENSE' 2024-03-06 23:02:27,374 root INFO writing manifest file 'pythondata_cpu_cv32e41p.egg-info/SOURCES.txt' 2024-03-06 23:02:27,410 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.dir-locals.el -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,411 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.gitignore -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,412 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.gitlab-ci.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,413 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.travis.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,414 root INFO copying pythondata_cpu_cv32e41p/system_verilog/Bender.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,415 root INFO copying pythondata_cpu_cv32e41p/system_verilog/CONTRIBUTING.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,416 root INFO copying pythondata_cpu_cv32e41p/system_verilog/LICENSE -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,417 root INFO copying pythondata_cpu_cv32e41p/system_verilog/README.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,418 root INFO copying pythondata_cpu_cv32e41p/system_verilog/cv32e41p_manifest.flist -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,419 root INFO copying pythondata_cpu_cv32e41p/system_verilog/src_files.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,420 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/.github 2024-03-06 23:02:27,420 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:27,421 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/bug.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:27,421 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/config.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:27,422 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/enhancement.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:27,423 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/question.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:27,424 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/task.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:27,425 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb 2024-03-06 23:02:27,425 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/README.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb 2024-03-06 23:02:27,426 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/sva 2024-03-06 23:02:27,427 root INFO copying pythondata_cpu_cv32e41p/system_verilog/sva/cv32e41p_prefetch_controller_sva.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/sva 2024-03-06 23:02:27,428 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,428 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_aligner.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,429 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,430 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu_div.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,431 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_apu_disp.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,432 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_controller.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,433 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_core.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,434 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_cs_registers.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,435 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ex_stage.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,436 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ff_one.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,437 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_fifo.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,438 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_hwloop_regs.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,439 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_id_stage.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,440 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_if_stage.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,441 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_int_controller.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,442 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_load_store_unit.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,443 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_merged_decoder.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,444 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_mult.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,445 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_obi_interface.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,446 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_popcnt.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,447 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_buffer.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,448 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_controller.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,449 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_ff.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,450 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_latch.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,451 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_sleep_unit.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,451 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/constraints 2024-03-06 23:02:27,452 root INFO copying pythondata_cpu_cv32e41p/system_verilog/constraints/cv32e41p_core.sdc -> build/lib/pythondata_cpu_cv32e41p/system_verilog/constraints 2024-03-06 23:02:27,453 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/Jenkinsfile -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,454 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/build-riscv-gcc.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,455 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/download-pulp-gcc.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,455 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/get-openocd.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,456 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/install-verilator.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,457 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/make-tmp.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,458 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,460 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/veri-run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,460 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,461 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_apu_tracer.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,462 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_core_log.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,462 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_instr_trace.svh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,463 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_sim_clock_gate.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,464 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_tracer.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,465 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_wrapper.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,466 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/.gitignore -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-03-06 23:02:27,467 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/Makefile -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-03-06 23:02:27,468 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/make.bat -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-03-06 23:02:27,469 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/requirements.txt -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-03-06 23:02:27,469 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts 2024-03-06 23:02:27,470 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts/pulptrace -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts 2024-03-06 23:02:27,471 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,471 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.clang-format -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,472 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.gitignore -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,473 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/Makefile -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,474 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/README.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,475 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/amo_shim.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,476 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_fp_wrapper.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,476 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_random_interrupt_generator.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,477 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_tb_subsystem.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,478 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/dp_ram.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,479 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mm_ram.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,480 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_gnt_stall.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,481 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_rvalid_stall.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,482 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/software.tcl -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,483 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/tb_top.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,484 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/vsim.tcl -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,484 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/waves.tcl -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,485 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-03-06 23:02:27,486 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/interrupt.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-03-06 23:02:27,487 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/isr.h -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-03-06 23:02:27,487 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/matrix.h -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-03-06 23:02:27,488 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/vectors.S -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-03-06 23:02:27,489 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-03-06 23:02:27,490 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp.h -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-03-06 23:02:27,491 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp_test.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-03-06 23:02:27,491 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include 2024-03-06 23:02:27,492 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include/perturbation_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include 2024-03-06 23:02:27,493 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-03-06 23:02:27,493 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/main.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-03-06 23:02:27,494 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/matmulNxN.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-03-06 23:02:27,495 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-03-06 23:02:27,495 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-03-06 23:02:27,496 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.h -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-03-06 23:02:27,497 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-03-06 23:02:27,498 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/crt0.S -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-03-06 23:02:27,498 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/hello_world.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-03-06 23:02:27,499 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/link.ld -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-03-06 23:02:27,500 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/syscalls.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-03-06 23:02:27,501 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/vectors.S -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-03-06 23:02:27,502 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware 2024-03-06 23:02:27,503 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware/stats.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware 2024-03-06 23:02:27,503 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-03-06 23:02:27,504 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_apu_core_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-03-06 23:02:27,505 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_fpu_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-03-06 23:02:27,506 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-03-06 23:02:27,507 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/include 2024-03-06 23:02:27,507 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/include/cv32e41p_tracer_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/include 2024-03-06 23:02:27,508 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,508 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/Back_to_Back_Memory_Transaction.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,509 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/Basic_Memory_Transaction.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,511 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,512 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,513 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Pipeline.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,514 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/Events_PCCR_PCMR_PCER.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,515 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/Slow_Response_Memory_Transaction.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,516 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/blockdiagram.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,517 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_halted.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,518 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_running.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,519 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/load_event.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,520 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_back_to_back.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,521 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_basic.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,522 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_multiple_outstanding.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,523 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_slow_response.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,524 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_basic.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,525 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_multiple_outstanding.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,526 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-circle.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,527 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-landscape.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,528 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/riscv_prefetch_buffer.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,529 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/rtl_freeze_rules.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,530 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/wfi.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,531 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/apu.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,532 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/control_status_registers.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,533 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/core_versions.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,534 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/corev_hw_loop.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,535 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/debug.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,536 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/exceptions_interrupts.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,537 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/fpu.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,538 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/getting_started.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,538 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/glossary.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,539 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/index.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,540 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_fetch.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,541 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_set_extensions.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,542 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/integration.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,543 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/intro.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,544 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/list.issue -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,545 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/load_store_unit.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,546 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/perf_counters.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,547 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/pipeline.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,548 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/register_file.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,549 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/sleep.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,550 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/tracer.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,551 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,551 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/Events_PCCR_PCMR_and_PCER.odg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,552 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_halted.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,553 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_running.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,554 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/load_event.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,555 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_back_to_back.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,556 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_basic.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,557 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_multiple_outstanding.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,558 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_slow_response.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,559 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_basic.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,560 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_multiple_outstanding.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,561 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/wfi.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,562 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts 2024-03-06 23:02:27,562 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-03-06 23:02:27,563 root INFO copying pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/README.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-03-06 23:02:27,564 root INFO copying pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_cmp.csh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-03-06 23:02:27,565 root INFO copying pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_conformal.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-03-06 23:02:27,600 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:02:27,600 root INFO running install 2024-03-06 23:02:27,678 root INFO running install_lib 2024-03-06 23:02:27,688 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:02:27,688 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:02:27,689 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p 2024-03-06 23:02:27,689 root INFO copying build/lib/pythondata_cpu_cv32e41p/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p 2024-03-06 23:02:27,690 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,691 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/cv32e41p_manifest.flist -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,691 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,692 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb 2024-03-06 23:02:27,693 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb 2024-03-06 23:02:27,694 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts 2024-03-06 23:02:27,694 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts/pulptrace -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts 2024-03-06 23:02:27,695 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,696 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-03-06 23:02:27,696 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/isr.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-03-06 23:02:27,697 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/vectors.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-03-06 23:02:27,698 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/matrix.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-03-06 23:02:27,698 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/interrupt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-03-06 23:02:27,699 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/waves.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,700 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mm_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,701 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/amo_shim.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,702 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-03-06 23:02:27,702 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-03-06 23:02:27,703 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-03-06 23:02:27,704 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include 2024-03-06 23:02:27,704 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include/perturbation_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include 2024-03-06 23:02:27,705 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_tb_subsystem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,706 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_rvalid_stall.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,707 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,708 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-03-06 23:02:27,708 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/main.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-03-06 23:02:27,709 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/matmulNxN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-03-06 23:02:27,710 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,711 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-03-06 23:02:27,711 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-03-06 23:02:27,712 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-03-06 23:02:27,713 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/dp_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,714 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,714 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_gnt_stall.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,715 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/software.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,716 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_random_interrupt_generator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,717 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-03-06 23:02:27,717 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/vectors.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-03-06 23:02:27,718 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-03-06 23:02:27,719 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/hello_world.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-03-06 23:02:27,720 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-03-06 23:02:27,720 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/crt0.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-03-06 23:02:27,721 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/vsim.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,722 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_fp_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,723 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,724 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware 2024-03-06 23:02:27,724 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware/stats.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware 2024-03-06 23:02:27,725 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-03-06 23:02:27,726 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,726 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.travis.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,727 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,728 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/sva 2024-03-06 23:02:27,729 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/sva/cv32e41p_prefetch_controller_sva.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/sva 2024-03-06 23:02:27,729 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.dir-locals.el -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,730 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,731 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,732 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu_div.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,732 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ex_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,733 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_latch.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,734 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_popcnt.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,735 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_int_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,736 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_mult.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,737 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-03-06 23:02:27,737 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_apu_core_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-03-06 23:02:27,738 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_fpu_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-03-06 23:02:27,739 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-03-06 23:02:27,739 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_ff.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,740 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_aligner.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,741 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_merged_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,742 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,743 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,744 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_load_store_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,745 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ff_one.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,745 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_id_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,746 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_sleep_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,747 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_core.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,748 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_if_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,749 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_obi_interface.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,750 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,750 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_hwloop_regs.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,751 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_cs_registers.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,752 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_apu_disp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,753 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-03-06 23:02:27,754 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/constraints 2024-03-06 23:02:27,754 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/constraints/cv32e41p_core.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/constraints 2024-03-06 23:02:27,755 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,756 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/Jenkinsfile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,756 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/veri-run-openocd-compliance.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,757 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/get-openocd.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,758 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/make-tmp.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,759 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/install-verilator.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,759 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/download-pulp-gcc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,760 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/build-riscv-gcc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,761 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/rv32tests-to-junit.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,762 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/run-openocd-compliance.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,762 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/openocd-to-junit.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-03-06 23:02:27,763 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,764 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,764 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,765 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,766 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,767 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv/include 2024-03-06 23:02:27,767 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/include/cv32e41p_tracer_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv/include 2024-03-06 23:02:27,768 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_core_log.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,769 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_apu_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,770 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_instr_trace.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,770 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,771 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_sim_clock_gate.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-03-06 23:02:27,772 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.gitlab-ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-03-06 23:02:27,773 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-03-06 23:02:27,773 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,774 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/blockdiagram.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,775 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_multiple_outstanding.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,776 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_basic.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,777 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,778 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,779 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Pipeline.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,780 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,780 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_slow_response.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,781 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_back_to_back.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,782 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/Events_PCCR_PCMR_and_PCER.odg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,783 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_halted.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,784 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_multiple_outstanding.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,785 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/wfi.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,786 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_multiple_outstanding.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,787 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_basic.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,787 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_basic.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,788 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/load_event.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,789 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_running.tim -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-03-06 23:02:27,790 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/Events_PCCR_PCMR_PCER.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,791 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-landscape.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,792 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_halted.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,793 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_slow_response.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,793 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/load_event.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,794 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_back_to_back.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,795 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/Basic_Memory_Transaction.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,796 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/Back_to_Back_Memory_Transaction.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,797 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_multiple_outstanding.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,798 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_basic.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,799 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/wfi.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,800 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/rtl_freeze_rules.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,801 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_running.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,801 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/Slow_Response_Memory_Transaction.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,802 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-circle.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,803 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/riscv_prefetch_buffer.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-03-06 23:02:27,804 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-03-06 23:02:27,805 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,806 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,806 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/register_file.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,807 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/load_store_unit.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,808 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/intro.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,809 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/control_status_registers.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,810 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/glossary.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,810 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/list.issue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,811 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/conf.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,812 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/debug.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,813 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/fpu.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,813 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/getting_started.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,814 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/tracer.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,815 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_set_extensions.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,816 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/perf_counters.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,817 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/corev_hw_loop.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,818 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/core_versions.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,819 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/integration.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,819 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/sleep.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,820 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_fetch.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,821 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/exceptions_interrupts.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,822 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/apu.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,823 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/pipeline.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-03-06 23:02:27,823 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/requirements.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-03-06 23:02:27,824 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-03-06 23:02:27,825 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/make.bat -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-03-06 23:02:27,826 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts 2024-03-06 23:02:27,826 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-03-06 23:02:27,827 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_conformal.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-03-06 23:02:27,828 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_cmp.csh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-03-06 23:02:27,828 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-03-06 23:02:27,829 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github 2024-03-06 23:02:27,830 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:27,830 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/question.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:27,831 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/bug.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:27,832 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/enhancement.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:27,833 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/config.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:27,833 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/task.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:27,834 root INFO running install_egg_info 2024-03-06 23:02:27,852 root INFO Copying pythondata_cpu_cv32e41p.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p-0.0.post1883-py3.11.egg-info 2024-03-06 23:02:27,856 root INFO running install_scripts 2024-03-06 23:02:27,866 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cv32e41p-0.0.post1883.dist-info/WHEEL 2024-03-06 23:02:27,869 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-08n4y0o8/pythondata_cpu_cv32e41p-0.0.post1883-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:02:27,871 wheel INFO adding 'pythondata_cpu_cv32e41p/__init__.py' 2024-03-06 23:02:27,876 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.dir-locals.el' 2024-03-06 23:02:27,877 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.gitignore' 2024-03-06 23:02:27,878 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.gitlab-ci.yml' 2024-03-06 23:02:27,879 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.travis.yml' 2024-03-06 23:02:27,880 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/Bender.yml' 2024-03-06 23:02:27,881 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/CONTRIBUTING.md' 2024-03-06 23:02:27,882 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/LICENSE' 2024-03-06 23:02:27,884 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/README.md' 2024-03-06 23:02:27,885 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/cv32e41p_manifest.flist' 2024-03-06 23:02:27,886 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/src_files.yml' 2024-03-06 23:02:27,887 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/bug.md' 2024-03-06 23:02:27,888 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/config.yml' 2024-03-06 23:02:27,889 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/enhancement.md' 2024-03-06 23:02:27,890 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/question.md' 2024-03-06 23:02:27,891 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/task.md' 2024-03-06 23:02:27,892 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_apu_tracer.sv' 2024-03-06 23:02:27,893 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_core_log.sv' 2024-03-06 23:02:27,894 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_instr_trace.svh' 2024-03-06 23:02:27,895 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_sim_clock_gate.sv' 2024-03-06 23:02:27,896 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_tracer.sv' 2024-03-06 23:02:27,898 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_wrapper.sv' 2024-03-06 23:02:27,899 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/include/cv32e41p_tracer_pkg.sv' 2024-03-06 23:02:27,900 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/Jenkinsfile' 2024-03-06 23:02:27,901 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/build-riscv-gcc.sh' 2024-03-06 23:02:27,902 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/download-pulp-gcc.sh' 2024-03-06 23:02:27,903 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/get-openocd.sh' 2024-03-06 23:02:27,904 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/install-verilator.sh' 2024-03-06 23:02:27,905 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/make-tmp.sh' 2024-03-06 23:02:27,906 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/openocd-to-junit.py' 2024-03-06 23:02:27,907 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/run-openocd-compliance.sh' 2024-03-06 23:02:27,908 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/rv32tests-to-junit.py' 2024-03-06 23:02:27,909 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/veri-run-openocd-compliance.sh' 2024-03-06 23:02:27,910 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/constraints/cv32e41p_core.sdc' 2024-03-06 23:02:27,911 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/.gitignore' 2024-03-06 23:02:27,912 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/Makefile' 2024-03-06 23:02:27,913 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/make.bat' 2024-03-06 23:02:27,914 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/requirements.txt' 2024-03-06 23:02:27,915 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/Back_to_Back_Memory_Transaction.png' 2024-03-06 23:02:27,917 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/Basic_Memory_Transaction.png' 2024-03-06 23:02:27,919 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.png' 2024-03-06 23:02:27,923 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.svg' 2024-03-06 23:02:27,925 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Pipeline.png' 2024-03-06 23:02:27,926 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/Events_PCCR_PCMR_PCER.png' 2024-03-06 23:02:27,928 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/Slow_Response_Memory_Transaction.png' 2024-03-06 23:02:27,930 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/blockdiagram.svg' 2024-03-06 23:02:27,932 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_halted.svg' 2024-03-06 23:02:27,934 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_running.svg' 2024-03-06 23:02:27,935 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/load_event.svg' 2024-03-06 23:02:27,937 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_back_to_back.svg' 2024-03-06 23:02:27,939 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_basic.svg' 2024-03-06 23:02:27,941 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_multiple_outstanding.svg' 2024-03-06 23:02:27,943 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_slow_response.svg' 2024-03-06 23:02:27,944 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_basic.svg' 2024-03-06 23:02:27,946 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_multiple_outstanding.svg' 2024-03-06 23:02:27,948 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-circle.svg' 2024-03-06 23:02:27,949 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-landscape.svg' 2024-03-06 23:02:27,950 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/riscv_prefetch_buffer.png' 2024-03-06 23:02:27,953 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/rtl_freeze_rules.png' 2024-03-06 23:02:27,956 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/wfi.svg' 2024-03-06 23:02:27,958 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/Events_PCCR_PCMR_and_PCER.odg' 2024-03-06 23:02:27,960 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_halted.tim' 2024-03-06 23:02:27,962 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_running.tim' 2024-03-06 23:02:27,964 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/load_event.tim' 2024-03-06 23:02:27,966 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_back_to_back.tim' 2024-03-06 23:02:27,968 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_basic.tim' 2024-03-06 23:02:27,969 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_multiple_outstanding.tim' 2024-03-06 23:02:27,971 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_slow_response.tim' 2024-03-06 23:02:27,973 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_basic.tim' 2024-03-06 23:02:27,975 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_multiple_outstanding.tim' 2024-03-06 23:02:27,977 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/wfi.tim' 2024-03-06 23:02:27,978 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/apu.rst' 2024-03-06 23:02:27,979 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/conf.py' 2024-03-06 23:02:27,981 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/control_status_registers.rst' 2024-03-06 23:02:27,983 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/core_versions.rst' 2024-03-06 23:02:27,984 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/corev_hw_loop.rst' 2024-03-06 23:02:27,985 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/debug.rst' 2024-03-06 23:02:27,986 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/exceptions_interrupts.rst' 2024-03-06 23:02:27,987 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/fpu.rst' 2024-03-06 23:02:27,988 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/getting_started.rst' 2024-03-06 23:02:27,989 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/glossary.rst' 2024-03-06 23:02:27,990 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/index.rst' 2024-03-06 23:02:27,991 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_fetch.rst' 2024-03-06 23:02:27,993 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_set_extensions.rst' 2024-03-06 23:02:27,996 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/integration.rst' 2024-03-06 23:02:27,997 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/intro.rst' 2024-03-06 23:02:27,998 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/list.issue' 2024-03-06 23:02:28,000 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/load_store_unit.rst' 2024-03-06 23:02:28,001 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/perf_counters.rst' 2024-03-06 23:02:28,002 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/pipeline.rst' 2024-03-06 23:02:28,003 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/register_file.rst' 2024-03-06 23:02:28,004 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/sleep.rst' 2024-03-06 23:02:28,005 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/tracer.rst' 2024-03-06 23:02:28,007 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/README.md' 2024-03-06 23:02:28,008 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.clang-format' 2024-03-06 23:02:28,009 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.gitignore' 2024-03-06 23:02:28,010 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/Makefile' 2024-03-06 23:02:28,011 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/README.md' 2024-03-06 23:02:28,012 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/amo_shim.sv' 2024-03-06 23:02:28,013 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_fp_wrapper.sv' 2024-03-06 23:02:28,014 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_random_interrupt_generator.sv' 2024-03-06 23:02:28,015 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_tb_subsystem.sv' 2024-03-06 23:02:28,016 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/dp_ram.sv' 2024-03-06 23:02:28,017 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mm_ram.sv' 2024-03-06 23:02:28,019 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_gnt_stall.sv' 2024-03-06 23:02:28,020 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_rvalid_stall.sv' 2024-03-06 23:02:28,021 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/software.tcl' 2024-03-06 23:02:28,022 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/tb_top.sv' 2024-03-06 23:02:28,023 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/vsim.tcl' 2024-03-06 23:02:28,024 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/waves.tcl' 2024-03-06 23:02:28,025 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/crt0.S' 2024-03-06 23:02:28,026 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/hello_world.c' 2024-03-06 23:02:28,027 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/link.ld' 2024-03-06 23:02:28,028 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/syscalls.c' 2024-03-06 23:02:28,029 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/vectors.S' 2024-03-06 23:02:28,030 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/main.c' 2024-03-06 23:02:28,031 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/matmulNxN.c' 2024-03-06 23:02:28,032 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware/stats.c' 2024-03-06 23:02:28,033 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp.h' 2024-03-06 23:02:28,035 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp_test.c' 2024-03-06 23:02:28,036 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include/perturbation_pkg.sv' 2024-03-06 23:02:28,037 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/interrupt.c' 2024-03-06 23:02:28,038 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/isr.h' 2024-03-06 23:02:28,039 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/matrix.h' 2024-03-06 23:02:28,040 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/vectors.S' 2024-03-06 23:02:28,041 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.c' 2024-03-06 23:02:28,042 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.h' 2024-03-06 23:02:28,043 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts/pulptrace' 2024-03-06 23:02:28,045 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_aligner.sv' 2024-03-06 23:02:28,046 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu.sv' 2024-03-06 23:02:28,047 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu_div.sv' 2024-03-06 23:02:28,049 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_apu_disp.sv' 2024-03-06 23:02:28,050 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_controller.sv' 2024-03-06 23:02:28,052 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_core.sv' 2024-03-06 23:02:28,054 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_cs_registers.sv' 2024-03-06 23:02:28,055 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ex_stage.sv' 2024-03-06 23:02:28,057 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ff_one.sv' 2024-03-06 23:02:28,058 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_fifo.sv' 2024-03-06 23:02:28,059 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_hwloop_regs.sv' 2024-03-06 23:02:28,060 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_id_stage.sv' 2024-03-06 23:02:28,062 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_if_stage.sv' 2024-03-06 23:02:28,063 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_int_controller.sv' 2024-03-06 23:02:28,064 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_load_store_unit.sv' 2024-03-06 23:02:28,066 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_merged_decoder.sv' 2024-03-06 23:02:28,069 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_mult.sv' 2024-03-06 23:02:28,070 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_obi_interface.sv' 2024-03-06 23:02:28,071 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_popcnt.sv' 2024-03-06 23:02:28,072 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_buffer.sv' 2024-03-06 23:02:28,073 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_controller.sv' 2024-03-06 23:02:28,074 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_ff.sv' 2024-03-06 23:02:28,076 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_latch.sv' 2024-03-06 23:02:28,077 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_sleep_unit.sv' 2024-03-06 23:02:28,078 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_apu_core_pkg.sv' 2024-03-06 23:02:28,079 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_fpu_pkg.sv' 2024-03-06 23:02:28,080 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_pkg.sv' 2024-03-06 23:02:28,082 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/README.md' 2024-03-06 23:02:28,083 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_cmp.csh' 2024-03-06 23:02:28,084 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_conformal.sh' 2024-03-06 23:02:28,085 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/sva/cv32e41p_prefetch_controller_sva.sv' 2024-03-06 23:02:28,087 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/LICENSE' 2024-03-06 23:02:28,087 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/METADATA' 2024-03-06 23:02:28,088 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/WHEEL' 2024-03-06 23:02:28,089 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/top_level.txt' 2024-03-06 23:02:28,096 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/RECORD' 2024-03-06 23:02:28,101 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:02:28,113 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_cv32e41p-0.0.post1883-py3-none-any.whl pythondata_cpu_cv32e41p-0.0.post1883-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-cva5 2024-03-06 23:02:29,251 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:02:29,462 root INFO running bdist_wheel 2024-03-06 23:02:29,544 root INFO running build 2024-03-06 23:02:29,545 root INFO running build_py 2024-03-06 23:02:29,557 root INFO creating build 2024-03-06 23:02:29,557 root INFO creating build/lib 2024-03-06 23:02:29,558 root INFO creating build/lib/pythondata_cpu_cva5 2024-03-06 23:02:29,558 root INFO copying pythondata_cpu_cva5/__init__.py -> build/lib/pythondata_cpu_cva5 2024-03-06 23:02:29,563 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog 2024-03-06 23:02:29,563 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-03-06 23:02:29,564 root INFO copying pythondata_cpu_cva5/system_verilog/tools/elf-to-hw-init.py -> build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-03-06 23:02:29,573 root INFO running egg_info 2024-03-06 23:02:29,573 root INFO creating pythondata_cpu_cva5.egg-info 2024-03-06 23:02:29,581 root INFO writing pythondata_cpu_cva5.egg-info/PKG-INFO 2024-03-06 23:02:29,583 root INFO writing dependency_links to pythondata_cpu_cva5.egg-info/dependency_links.txt 2024-03-06 23:02:29,585 root INFO writing top-level names to pythondata_cpu_cva5.egg-info/top_level.txt 2024-03-06 23:02:29,586 root INFO writing manifest file 'pythondata_cpu_cva5.egg-info/SOURCES.txt' 2024-03-06 23:02:29,613 root INFO reading manifest file 'pythondata_cpu_cva5.egg-info/SOURCES.txt' 2024-03-06 23:02:29,615 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:02:29,628 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:02:29,629 root INFO adding license file 'LICENSE' 2024-03-06 23:02:29,642 root INFO writing manifest file 'pythondata_cpu_cva5.egg-info/SOURCES.txt' 2024-03-06 23:02:29,674 root INFO copying pythondata_cpu_cva5/system_verilog/.gitlab-ci.yml -> build/lib/pythondata_cpu_cva5/system_verilog 2024-03-06 23:02:29,675 root INFO copying pythondata_cpu_cva5/system_verilog/LICENSE -> build/lib/pythondata_cpu_cva5/system_verilog 2024-03-06 23:02:29,676 root INFO copying pythondata_cpu_cva5/system_verilog/README.md -> build/lib/pythondata_cpu_cva5/system_verilog 2024-03-06 23:02:29,677 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,678 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/.gitkeep -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,679 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/debug_cfg_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,680 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/debug_interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,680 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/debug_module.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,682 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/jtag_module.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,682 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/jtag_register.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,683 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/jtag_registers.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,684 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-03-06 23:02:29,685 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/axi_mem_sim.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-03-06 23:02:29,686 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-03-06 23:02:29,687 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.wcfg -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-03-06 23:02:29,688 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/sim_mem.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-03-06 23:02:29,689 root INFO copying pythondata_cpu_cva5/system_verilog/tools/.gitignore -> build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-03-06 23:02:29,689 root INFO copying pythondata_cpu_cva5/system_verilog/tools/compile_order -> build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-03-06 23:02:29,690 root INFO copying pythondata_cpu_cva5/system_verilog/tools/cva5.mak -> build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-03-06 23:02:29,692 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,692 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_arbiter.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,693 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_config_and_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,694 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_external_interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,695 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_fifo.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,696 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,697 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_reservation_logic.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,698 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_round_robin.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,698 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/local_memory 2024-03-06 23:02:29,699 root INFO copying pythondata_cpu_cva5/system_verilog/local_memory/local_mem.sv -> build/lib/pythondata_cpu_cva5/system_verilog/local_memory 2024-03-06 23:02:29,700 root INFO copying pythondata_cpu_cva5/system_verilog/local_memory/local_memory_interface.sv -> build/lib/pythondata_cpu_cva5/system_verilog/local_memory 2024-03-06 23:02:29,701 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,701 root INFO copying pythondata_cpu_cva5/system_verilog/core/addr_hash.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,702 root INFO copying pythondata_cpu_cva5/system_verilog/core/alu_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,703 root INFO copying pythondata_cpu_cva5/system_verilog/core/amo_alu.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,704 root INFO copying pythondata_cpu_cva5/system_verilog/core/avalon_master.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,705 root INFO copying pythondata_cpu_cva5/system_verilog/core/axi_master.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,706 root INFO copying pythondata_cpu_cva5/system_verilog/core/axi_to_arb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,707 root INFO copying pythondata_cpu_cva5/system_verilog/core/barrel_shifter.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,708 root INFO copying pythondata_cpu_cva5/system_verilog/core/binary_occupancy.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,709 root INFO copying pythondata_cpu_cva5/system_verilog/core/branch_comparator.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,709 root INFO copying pythondata_cpu_cva5/system_verilog/core/branch_predictor.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,710 root INFO copying pythondata_cpu_cva5/system_verilog/core/branch_predictor_ram.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,711 root INFO copying pythondata_cpu_cva5/system_verilog/core/branch_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,712 root INFO copying pythondata_cpu_cva5/system_verilog/core/byte_en_BRAM.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,713 root INFO copying pythondata_cpu_cva5/system_verilog/core/clz.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,714 root INFO copying pythondata_cpu_cva5/system_verilog/core/csr_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,715 root INFO copying pythondata_cpu_cva5/system_verilog/core/csr_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,716 root INFO copying pythondata_cpu_cva5/system_verilog/core/cva5.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,717 root INFO copying pythondata_cpu_cva5/system_verilog/core/cva5_config.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,718 root INFO copying pythondata_cpu_cva5/system_verilog/core/cva5_fifo.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,719 root INFO copying pythondata_cpu_cva5/system_verilog/core/cva5_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,719 root INFO copying pythondata_cpu_cva5/system_verilog/core/cycler.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,720 root INFO copying pythondata_cpu_cva5/system_verilog/core/dcache.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,721 root INFO copying pythondata_cpu_cva5/system_verilog/core/ddata_bank.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,722 root INFO copying pythondata_cpu_cva5/system_verilog/core/decode_and_issue.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,723 root INFO copying pythondata_cpu_cva5/system_verilog/core/div_core.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,724 root INFO copying pythondata_cpu_cva5/system_verilog/core/div_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,725 root INFO copying pythondata_cpu_cva5/system_verilog/core/dtag_banks.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,726 root INFO copying pythondata_cpu_cva5/system_verilog/core/external_interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,726 root INFO copying pythondata_cpu_cva5/system_verilog/core/fetch.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,727 root INFO copying pythondata_cpu_cva5/system_verilog/core/gc_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,728 root INFO copying pythondata_cpu_cva5/system_verilog/core/icache.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,729 root INFO copying pythondata_cpu_cva5/system_verilog/core/illegal_instruction_checker.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,730 root INFO copying pythondata_cpu_cva5/system_verilog/core/instruction_metadata_and_id_management.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,731 root INFO copying pythondata_cpu_cva5/system_verilog/core/interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,732 root INFO copying pythondata_cpu_cva5/system_verilog/core/itag_banks.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,733 root INFO copying pythondata_cpu_cva5/system_verilog/core/l1_arbiter.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,734 root INFO copying pythondata_cpu_cva5/system_verilog/core/lfsr.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,734 root INFO copying pythondata_cpu_cva5/system_verilog/core/load_store_queue.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,735 root INFO copying pythondata_cpu_cva5/system_verilog/core/load_store_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,736 root INFO copying pythondata_cpu_cva5/system_verilog/core/local_mem_sub_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,737 root INFO copying pythondata_cpu_cva5/system_verilog/core/mmu.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,738 root INFO copying pythondata_cpu_cva5/system_verilog/core/mul_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,739 root INFO copying pythondata_cpu_cva5/system_verilog/core/one_hot_occupancy.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,740 root INFO copying pythondata_cpu_cva5/system_verilog/core/one_hot_to_integer.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,740 root INFO copying pythondata_cpu_cva5/system_verilog/core/placer_randomizer.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,741 root INFO copying pythondata_cpu_cva5/system_verilog/core/priority_encoder.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,742 root INFO copying pythondata_cpu_cva5/system_verilog/core/ras.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,743 root INFO copying pythondata_cpu_cva5/system_verilog/core/reg_inuse.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,744 root INFO copying pythondata_cpu_cva5/system_verilog/core/register_bank.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,745 root INFO copying pythondata_cpu_cva5/system_verilog/core/register_file.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,745 root INFO copying pythondata_cpu_cva5/system_verilog/core/register_free_list.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,746 root INFO copying pythondata_cpu_cva5/system_verilog/core/renamer.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,747 root INFO copying pythondata_cpu_cva5/system_verilog/core/riscv_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,748 root INFO copying pythondata_cpu_cva5/system_verilog/core/set_clr_reg_with_rst.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,749 root INFO copying pythondata_cpu_cva5/system_verilog/core/shift_counter.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,750 root INFO copying pythondata_cpu_cva5/system_verilog/core/store_queue.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,751 root INFO copying pythondata_cpu_cva5/system_verilog/core/tag_bank.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,751 root INFO copying pythondata_cpu_cva5/system_verilog/core/tlb_lut_ram.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,752 root INFO copying pythondata_cpu_cva5/system_verilog/core/toggle_memory.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,753 root INFO copying pythondata_cpu_cva5/system_verilog/core/toggle_memory_set.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,754 root INFO copying pythondata_cpu_cva5/system_verilog/core/wishbone_master.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,755 root INFO copying pythondata_cpu_cva5/system_verilog/core/writeback.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,755 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,756 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,757 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,758 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,759 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,759 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,760 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,761 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-03-06 23:02:29,762 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/alu_unit_tb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-03-06 23:02:29,763 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/div_unit_tb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-03-06 23:02:29,763 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/mul_unit_tb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-03-06 23:02:29,764 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,765 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/DDR_init.txt -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,766 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,767 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,768 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_interface.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,768 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,769 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,770 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,771 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,772 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/main.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,773 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/formal 2024-03-06 23:02:29,773 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/formal/models 2024-03-06 23:02:29,774 root INFO copying pythondata_cpu_cva5/system_verilog/formal/models/cva5_fbm.sv -> build/lib/pythondata_cpu_cva5/system_verilog/formal/models 2024-03-06 23:02:29,774 root INFO copying pythondata_cpu_cva5/system_verilog/formal/models/cva5_formal_wrapper.sv -> build/lib/pythondata_cpu_cva5/system_verilog/formal/models 2024-03-06 23:02:29,775 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-03-06 23:02:29,776 root INFO copying pythondata_cpu_cva5/system_verilog/formal/scripts/cva5_rtl.vfile -> build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-03-06 23:02:29,777 root INFO copying pythondata_cpu_cva5/system_verilog/formal/scripts/setup_cva5_dev.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-03-06 23:02:29,777 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/formal/interfaces 2024-03-06 23:02:29,778 root INFO copying pythondata_cpu_cva5/system_verilog/formal/interfaces/axi4_basic_props.sv -> build/lib/pythondata_cpu_cva5/system_verilog/formal/interfaces 2024-03-06 23:02:29,779 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/examples 2024-03-06 23:02:29,779 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,780 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/README.md -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,781 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/arm.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,782 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,783 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_small.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,784 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_wrapper.sv -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,784 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.hw_init -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,786 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.sim_init -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,788 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/simulator_output_example.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,789 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/system.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,790 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/system_periperhals.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,791 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/xilinx_wiring_sample.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,792 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/zedboard.xdc -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,793 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/examples/litex 2024-03-06 23:02:29,793 root INFO copying pythondata_cpu_cva5/system_verilog/examples/litex/l1_to_wishbone.sv -> build/lib/pythondata_cpu_cva5/system_verilog/examples/litex 2024-03-06 23:02:29,794 root INFO copying pythondata_cpu_cva5/system_verilog/examples/litex/litex_wrapper.sv -> build/lib/pythondata_cpu_cva5/system_verilog/examples/litex 2024-03-06 23:02:29,795 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-03-06 23:02:29,795 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/cva5-ip-core-base.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-03-06 23:02:29,796 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/design_1_wrapper.v -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-03-06 23:02:29,797 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/zedboard_master_XDC_RevC_D_v3.xdc -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-03-06 23:02:29,798 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/scripts 2024-03-06 23:02:29,798 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-03-06 23:02:29,799 root INFO copying pythondata_cpu_cva5/system_verilog/scripts/xilinx/cva5_wrapper_IP.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-03-06 23:02:29,800 root INFO copying pythondata_cpu_cva5/system_verilog/scripts/xilinx/local_memory_IP.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-03-06 23:02:29,801 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-03-06 23:02:29,801 root INFO copying pythondata_cpu_cva5/system_verilog/core/xilinx/cva5_wrapper_xilinx.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-03-06 23:02:29,802 root INFO copying pythondata_cpu_cva5/system_verilog/core/xilinx/xilinx_byte_enable_ram.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-03-06 23:02:29,803 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/core/intel 2024-03-06 23:02:29,803 root INFO copying pythondata_cpu_cva5/system_verilog/core/intel/intel_byte_enable_ram.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/intel 2024-03-06 23:02:29,804 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-03-06 23:02:29,805 root INFO copying pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_1r.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-03-06 23:02:29,806 root INFO copying pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_mr.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-03-06 23:02:29,839 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:02:29,840 root INFO running install 2024-03-06 23:02:29,914 root INFO running install_lib 2024-03-06 23:02:29,924 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:02:29,925 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:02:29,925 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5 2024-03-06 23:02:29,926 root INFO copying build/lib/pythondata_cpu_cva5/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5 2024-03-06 23:02:29,927 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog 2024-03-06 23:02:29,927 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,928 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/debug_module.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,929 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/debug_cfg_types.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,929 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/jtag_register.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,930 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/jtag_module.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,931 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/.gitkeep -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,932 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/jtag_registers.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,932 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/debug_interfaces.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-03-06 23:02:29,933 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-03-06 23:02:29,934 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-03-06 23:02:29,935 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,935 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,936 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,936 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,937 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,938 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/main.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,939 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/DDR_init.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,940 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,941 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,941 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,942 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,943 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-03-06 23:02:29,944 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,944 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,945 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,946 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,947 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-03-06 23:02:29,947 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/sim_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-03-06 23:02:29,948 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-03-06 23:02:29,949 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/div_unit_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-03-06 23:02:29,949 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/alu_unit_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-03-06 23:02:29,950 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/mul_unit_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-03-06 23:02:29,951 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.wcfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-03-06 23:02:29,952 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/axi_mem_sim.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-03-06 23:02:29,953 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal 2024-03-06 23:02:29,953 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/models 2024-03-06 23:02:29,954 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/models/cva5_formal_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/models 2024-03-06 23:02:29,955 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/models/cva5_fbm.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/models 2024-03-06 23:02:29,955 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-03-06 23:02:29,956 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts/setup_cva5_dev.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-03-06 23:02:29,957 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts/cva5_rtl.vfile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-03-06 23:02:29,957 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/interfaces 2024-03-06 23:02:29,958 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/interfaces/axi4_basic_props.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/formal/interfaces 2024-03-06 23:02:29,959 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-03-06 23:02:29,959 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/tools/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-03-06 23:02:29,960 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/tools/elf-to-hw-init.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-03-06 23:02:29,961 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/tools/cva5.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-03-06 23:02:29,962 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/tools/compile_order -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-03-06 23:02:29,962 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog 2024-03-06 23:02:29,963 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,964 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,964 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_interfaces.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,965 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_config_and_types.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,966 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_external_interfaces.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,967 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_reservation_logic.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,967 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_round_robin.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,968 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_arbiter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-03-06 23:02:29,969 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog 2024-03-06 23:02:29,970 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/local_memory 2024-03-06 23:02:29,970 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/local_memory/local_memory_interface.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/local_memory 2024-03-06 23:02:29,971 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/local_memory/local_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/local_memory 2024-03-06 23:02:29,972 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/.gitlab-ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog 2024-03-06 23:02:29,973 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples 2024-03-06 23:02:29,973 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,974 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/zedboard.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,975 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/xilinx_wiring_sample.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,975 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_small.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,976 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.hw_init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,978 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.sim_init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,980 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,981 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/system_periperhals.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,981 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/simulator_output_example.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,982 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,983 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/arm.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,984 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,985 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/system.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-03-06 23:02:29,986 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-03-06 23:02:29,986 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/design_1_wrapper.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-03-06 23:02:29,987 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/zedboard_master_XDC_RevC_D_v3.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-03-06 23:02:29,988 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/cva5-ip-core-base.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-03-06 23:02:29,989 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/litex 2024-03-06 23:02:29,989 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/litex/l1_to_wishbone.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/litex 2024-03-06 23:02:29,990 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/litex/litex_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/examples/litex 2024-03-06 23:02:29,991 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/scripts 2024-03-06 23:02:29,991 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-03-06 23:02:29,992 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx/cva5_wrapper_IP.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-03-06 23:02:29,993 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx/local_memory_IP.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-03-06 23:02:29,994 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,994 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/wishbone_master.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,995 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/itag_banks.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,996 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/clz.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,997 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cva5.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,997 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cva5_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,998 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/branch_comparator.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:29,999 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/div_core.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,000 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/placer_randomizer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,000 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/gc_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,001 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/one_hot_to_integer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,002 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/load_store_queue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,003 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/register_free_list.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,003 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/tag_bank.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,004 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/fetch.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,005 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/reg_inuse.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,006 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/decode_and_issue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,007 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/toggle_memory_set.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,007 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-03-06 23:02:30,008 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx/cva5_wrapper_xilinx.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-03-06 23:02:30,009 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx/xilinx_byte_enable_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-03-06 23:02:30,009 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/branch_predictor_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,010 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/intel 2024-03-06 23:02:30,011 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/intel/intel_byte_enable_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/intel 2024-03-06 23:02:30,012 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/axi_to_arb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,012 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/register_bank.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,013 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/binary_occupancy.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,014 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/icache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,015 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/mmu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,015 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/dtag_banks.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,016 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/barrel_shifter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,017 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/store_queue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,018 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/mul_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,018 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/addr_hash.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,019 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-03-06 23:02:30,020 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_mr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-03-06 23:02:30,020 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_1r.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-03-06 23:02:30,021 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/writeback.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,022 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/register_file.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,023 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/branch_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,024 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/lfsr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,024 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/toggle_memory.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,025 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/l1_arbiter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,026 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/csr_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,027 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/local_mem_sub_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,027 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/amo_alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,028 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/div_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,029 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/branch_predictor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,030 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/ddata_bank.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,030 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/tlb_lut_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,031 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/axi_master.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,032 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/avalon_master.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,033 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/byte_en_BRAM.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,033 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/illegal_instruction_checker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,034 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cva5_types.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,035 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/one_hot_occupancy.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,036 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/ras.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,036 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/renamer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,037 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/interfaces.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,038 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/dcache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,039 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/set_clr_reg_with_rst.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,039 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/shift_counter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,040 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/load_store_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,041 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/riscv_types.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,042 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cva5_config.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,042 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/priority_encoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,043 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cycler.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,044 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/instruction_metadata_and_id_management.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,045 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/csr_types.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,045 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/external_interfaces.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,046 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/alu_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-03-06 23:02:30,047 root INFO running install_egg_info 2024-03-06 23:02:30,065 root INFO Copying pythondata_cpu_cva5.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5-0.0.post649-py3.11.egg-info 2024-03-06 23:02:30,069 root INFO running install_scripts 2024-03-06 23:02:30,078 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva5-0.0.post649.dist-info/WHEEL 2024-03-06 23:02:30,081 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-vygpru0b/pythondata_cpu_cva5-0.0.post649-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:02:30,084 wheel INFO adding 'pythondata_cpu_cva5/__init__.py' 2024-03-06 23:02:30,088 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/.gitlab-ci.yml' 2024-03-06 23:02:30,089 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/LICENSE' 2024-03-06 23:02:30,091 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/README.md' 2024-03-06 23:02:30,092 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/addr_hash.sv' 2024-03-06 23:02:30,093 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/alu_unit.sv' 2024-03-06 23:02:30,094 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/amo_alu.sv' 2024-03-06 23:02:30,095 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/avalon_master.sv' 2024-03-06 23:02:30,096 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/axi_master.sv' 2024-03-06 23:02:30,098 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/axi_to_arb.sv' 2024-03-06 23:02:30,099 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/barrel_shifter.sv' 2024-03-06 23:02:30,100 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/binary_occupancy.sv' 2024-03-06 23:02:30,101 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/branch_comparator.sv' 2024-03-06 23:02:30,102 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/branch_predictor.sv' 2024-03-06 23:02:30,103 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/branch_predictor_ram.sv' 2024-03-06 23:02:30,104 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/branch_unit.sv' 2024-03-06 23:02:30,105 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/byte_en_BRAM.sv' 2024-03-06 23:02:30,106 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/clz.sv' 2024-03-06 23:02:30,107 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/csr_types.sv' 2024-03-06 23:02:30,108 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/csr_unit.sv' 2024-03-06 23:02:30,109 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cva5.sv' 2024-03-06 23:02:30,110 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cva5_config.sv' 2024-03-06 23:02:30,111 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cva5_fifo.sv' 2024-03-06 23:02:30,112 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cva5_types.sv' 2024-03-06 23:02:30,113 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cycler.sv' 2024-03-06 23:02:30,114 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/dcache.sv' 2024-03-06 23:02:30,116 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/ddata_bank.sv' 2024-03-06 23:02:30,117 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/decode_and_issue.sv' 2024-03-06 23:02:30,118 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/div_core.sv' 2024-03-06 23:02:30,119 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/div_unit.sv' 2024-03-06 23:02:30,120 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/dtag_banks.sv' 2024-03-06 23:02:30,121 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/external_interfaces.sv' 2024-03-06 23:02:30,122 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/fetch.sv' 2024-03-06 23:02:30,123 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/gc_unit.sv' 2024-03-06 23:02:30,124 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/icache.sv' 2024-03-06 23:02:30,125 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/illegal_instruction_checker.sv' 2024-03-06 23:02:30,127 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/instruction_metadata_and_id_management.sv' 2024-03-06 23:02:30,128 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/interfaces.sv' 2024-03-06 23:02:30,129 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/itag_banks.sv' 2024-03-06 23:02:30,130 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/l1_arbiter.sv' 2024-03-06 23:02:30,131 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/lfsr.sv' 2024-03-06 23:02:30,132 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/load_store_queue.sv' 2024-03-06 23:02:30,133 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/load_store_unit.sv' 2024-03-06 23:02:30,134 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/local_mem_sub_unit.sv' 2024-03-06 23:02:30,135 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/mmu.sv' 2024-03-06 23:02:30,136 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/mul_unit.sv' 2024-03-06 23:02:30,137 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/one_hot_occupancy.sv' 2024-03-06 23:02:30,138 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/one_hot_to_integer.sv' 2024-03-06 23:02:30,139 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/placer_randomizer.sv' 2024-03-06 23:02:30,140 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/priority_encoder.sv' 2024-03-06 23:02:30,141 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/ras.sv' 2024-03-06 23:02:30,142 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/reg_inuse.sv' 2024-03-06 23:02:30,143 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/register_bank.sv' 2024-03-06 23:02:30,144 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/register_file.sv' 2024-03-06 23:02:30,145 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/register_free_list.sv' 2024-03-06 23:02:30,146 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/renamer.sv' 2024-03-06 23:02:30,147 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/riscv_types.sv' 2024-03-06 23:02:30,148 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/set_clr_reg_with_rst.sv' 2024-03-06 23:02:30,149 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/shift_counter.sv' 2024-03-06 23:02:30,150 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/store_queue.sv' 2024-03-06 23:02:30,151 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/tag_bank.sv' 2024-03-06 23:02:30,152 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/tlb_lut_ram.sv' 2024-03-06 23:02:30,153 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/toggle_memory.sv' 2024-03-06 23:02:30,154 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/toggle_memory_set.sv' 2024-03-06 23:02:30,155 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/wishbone_master.sv' 2024-03-06 23:02:30,156 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/writeback.sv' 2024-03-06 23:02:30,157 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/intel/intel_byte_enable_ram.sv' 2024-03-06 23:02:30,158 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_1r.sv' 2024-03-06 23:02:30,159 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_mr.sv' 2024-03-06 23:02:30,160 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/xilinx/cva5_wrapper_xilinx.sv' 2024-03-06 23:02:30,161 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/xilinx/xilinx_byte_enable_ram.sv' 2024-03-06 23:02:30,162 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/.gitkeep' 2024-03-06 23:02:30,163 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/debug_cfg_types.sv' 2024-03-06 23:02:30,164 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/debug_interfaces.sv' 2024-03-06 23:02:30,165 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/debug_module.sv' 2024-03-06 23:02:30,167 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/jtag_module.sv' 2024-03-06 23:02:30,168 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/jtag_register.sv' 2024-03-06 23:02:30,169 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/jtag_registers.sv' 2024-03-06 23:02:30,170 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/litex/l1_to_wishbone.sv' 2024-03-06 23:02:30,171 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/litex/litex_wrapper.sv' 2024-03-06 23:02:30,172 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/README.md' 2024-03-06 23:02:30,173 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/arm.tcl' 2024-03-06 23:02:30,175 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5.png' 2024-03-06 23:02:30,178 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_small.png' 2024-03-06 23:02:30,179 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_wrapper.sv' 2024-03-06 23:02:30,181 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.hw_init' 2024-03-06 23:02:30,187 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.sim_init' 2024-03-06 23:02:30,196 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/simulator_output_example.png' 2024-03-06 23:02:30,198 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/system.png' 2024-03-06 23:02:30,199 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/system_periperhals.tcl' 2024-03-06 23:02:30,200 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/xilinx_wiring_sample.png' 2024-03-06 23:02:30,202 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/zedboard.xdc' 2024-03-06 23:02:30,204 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/cva5-ip-core-base.tcl' 2024-03-06 23:02:30,205 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/design_1_wrapper.v' 2024-03-06 23:02:30,206 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/zedboard_master_XDC_RevC_D_v3.xdc' 2024-03-06 23:02:30,208 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/interfaces/axi4_basic_props.sv' 2024-03-06 23:02:30,209 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/models/cva5_fbm.sv' 2024-03-06 23:02:30,210 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/models/cva5_formal_wrapper.sv' 2024-03-06 23:02:30,211 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/scripts/cva5_rtl.vfile' 2024-03-06 23:02:30,212 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/scripts/setup_cva5_dev.tcl' 2024-03-06 23:02:30,213 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_arbiter.sv' 2024-03-06 23:02:30,214 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_config_and_types.sv' 2024-03-06 23:02:30,215 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_external_interfaces.sv' 2024-03-06 23:02:30,216 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_fifo.sv' 2024-03-06 23:02:30,217 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_interfaces.sv' 2024-03-06 23:02:30,218 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_reservation_logic.sv' 2024-03-06 23:02:30,219 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_round_robin.sv' 2024-03-06 23:02:30,220 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/local_memory/local_mem.sv' 2024-03-06 23:02:30,221 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/local_memory/local_memory_interface.sv' 2024-03-06 23:02:30,222 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/scripts/xilinx/cva5_wrapper_IP.tcl' 2024-03-06 23:02:30,224 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/scripts/xilinx/local_memory_IP.tcl' 2024-03-06 23:02:30,225 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/axi_mem_sim.sv' 2024-03-06 23:02:30,226 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.sv' 2024-03-06 23:02:30,227 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.wcfg' 2024-03-06 23:02:30,229 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/sim_mem.sv' 2024-03-06 23:02:30,230 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/alu_unit_tb.sv' 2024-03-06 23:02:30,231 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/div_unit_tb.sv' 2024-03-06 23:02:30,233 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/mul_unit_tb.sv' 2024-03-06 23:02:30,234 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.cc' 2024-03-06 23:02:30,235 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.h' 2024-03-06 23:02:30,236 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.cc' 2024-03-06 23:02:30,237 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.h' 2024-03-06 23:02:30,238 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.cc' 2024-03-06 23:02:30,239 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.sv' 2024-03-06 23:02:30,240 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/DDR_init.txt' 2024-03-06 23:02:30,243 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.cc' 2024-03-06 23:02:30,244 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.h' 2024-03-06 23:02:30,245 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_interface.h' 2024-03-06 23:02:30,246 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.cc' 2024-03-06 23:02:30,247 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.sv' 2024-03-06 23:02:30,248 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.cc' 2024-03-06 23:02:30,249 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.h' 2024-03-06 23:02:30,250 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/main.cc' 2024-03-06 23:02:30,251 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/tools/.gitignore' 2024-03-06 23:02:30,252 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/tools/compile_order' 2024-03-06 23:02:30,253 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/tools/cva5.mak' 2024-03-06 23:02:30,254 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/tools/elf-to-hw-init.py' 2024-03-06 23:02:30,255 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/LICENSE' 2024-03-06 23:02:30,256 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/METADATA' 2024-03-06 23:02:30,257 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/WHEEL' 2024-03-06 23:02:30,258 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/top_level.txt' 2024-03-06 23:02:30,264 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/RECORD' 2024-03-06 23:02:30,268 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:02:30,279 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_cva5-0.0.post649-py3-none-any.whl pythondata_cpu_cva5-0.0.post649-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-cva6 2024-03-06 23:02:31,416 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:02:31,657 root INFO running bdist_wheel 2024-03-06 23:02:31,740 root INFO running build 2024-03-06 23:02:31,740 root INFO running build_py 2024-03-06 23:02:31,752 root INFO creating build 2024-03-06 23:02:31,753 root INFO creating build/lib 2024-03-06 23:02:31,753 root INFO creating build/lib/pythondata_cpu_cva6 2024-03-06 23:02:31,754 root INFO copying pythondata_cpu_cva6/__init__.py -> build/lib/pythondata_cpu_cva6 2024-03-06 23:02:31,756 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:31,757 root INFO copying pythondata_cpu_cva6/system_verilog/config_pkg_generator.py -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:31,760 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:31,761 root INFO copying pythondata_cpu_cva6/system_verilog/docs/conf.py -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:31,762 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/scripts 2024-03-06 23:02:31,763 root INFO copying pythondata_cpu_cva6/system_verilog/scripts/parse_ila_trace.py -> build/lib/pythondata_cpu_cva6/system_verilog/scripts 2024-03-06 23:02:31,769 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu 2024-03-06 23:02:31,770 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:31,770 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/gen_rom.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:31,776 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:31,777 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:31,777 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/linux_boot.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:31,779 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:31,779 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:31,780 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/gen_rom.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:31,792 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-03-06 23:02:31,792 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom 2024-03-06 23:02:31,793 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:31,793 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/gen_rom.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:31,795 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-03-06 23:02:31,795 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/gen_rom.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-03-06 23:02:31,802 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:31,802 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:31,803 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-03-06 23:02:31,803 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-03-06 23:02:31,804 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/testlib.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-03-06 23:02:31,815 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/pd 2024-03-06 23:02:31,815 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-03-06 23:02:31,816 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-03-06 23:02:31,816 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/scripts/gate_analysis.py -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-03-06 23:02:31,820 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-03-06 23:02:31,820 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:31,821 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/conf.py -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:31,831 root INFO running egg_info 2024-03-06 23:02:31,832 root INFO creating pythondata_cpu_cva6.egg-info 2024-03-06 23:02:31,840 root INFO writing pythondata_cpu_cva6.egg-info/PKG-INFO 2024-03-06 23:02:31,842 root INFO writing dependency_links to pythondata_cpu_cva6.egg-info/dependency_links.txt 2024-03-06 23:02:31,844 root INFO writing top-level names to pythondata_cpu_cva6.egg-info/top_level.txt 2024-03-06 23:02:31,845 root INFO writing manifest file 'pythondata_cpu_cva6.egg-info/SOURCES.txt' 2024-03-06 23:02:31,950 root INFO reading manifest file 'pythondata_cpu_cva6.egg-info/SOURCES.txt' 2024-03-06 23:02:31,951 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:02:32,028 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:02:32,028 root INFO adding license file 'LICENSE' 2024-03-06 23:02:32,112 root INFO writing manifest file 'pythondata_cpu_cva6.egg-info/SOURCES.txt' 2024-03-06 23:02:32,310 root INFO copying pythondata_cpu_cva6/system_verilog/.editorconfig -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,311 root INFO copying pythondata_cpu_cva6/system_verilog/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,312 root INFO copying pythondata_cpu_cva6/system_verilog/.gitlab-ci.yml -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,313 root INFO copying pythondata_cpu_cva6/system_verilog/.gitmodules -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,314 root INFO copying pythondata_cpu_cva6/system_verilog/Bender.yml -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,315 root INFO copying pythondata_cpu_cva6/system_verilog/CHANGELOG.md -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,316 root INFO copying pythondata_cpu_cva6/system_verilog/CODEOWNERS -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,317 root INFO copying pythondata_cpu_cva6/system_verilog/CONTRIBUTING.md -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,317 root INFO copying pythondata_cpu_cva6/system_verilog/Flist.ariane -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,318 root INFO copying pythondata_cpu_cva6/system_verilog/LICENSE -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,319 root INFO copying pythondata_cpu_cva6/system_verilog/LICENSE.Berkeley -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,320 root INFO copying pythondata_cpu_cva6/system_verilog/LICENSE.SiFive -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,321 root INFO copying pythondata_cpu_cva6/system_verilog/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,322 root INFO copying pythondata_cpu_cva6/system_verilog/README.md -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,323 root INFO copying pythondata_cpu_cva6/system_verilog/ariane.core -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,324 root INFO copying pythondata_cpu_cva6/system_verilog/init_testharness.do -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,325 root INFO copying pythondata_cpu_cva6/system_verilog/src_files.yml -> build/lib/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:32,326 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/.github 2024-03-06 23:02:32,326 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:32,326 root INFO copying pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/bug.yaml -> build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:32,327 root INFO copying pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/task.yaml -> build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:32,328 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/.github/workflows 2024-03-06 23:02:32,329 root INFO copying pythondata_cpu_cva6/system_verilog/.github/workflows/ci.yml -> build/lib/pythondata_cpu_cva6/system_verilog/.github/workflows 2024-03-06 23:02:32,330 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-03-06 23:02:32,330 root INFO copying pythondata_cpu_cva6/system_verilog/.gitlab-ci/core-v-verif-cva6.yml -> build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-03-06 23:02:32,331 root INFO copying pythondata_cpu_cva6/system_verilog/.gitlab-ci/cva6.yml -> build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-03-06 23:02:32,332 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,332 root INFO copying pythondata_cpu_cva6/system_verilog/ci/build-riscv-gcc.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,333 root INFO copying pythondata_cpu_cva6/system_verilog/ci/build-riscv-tests.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,334 root INFO copying pythondata_cpu_cva6/system_verilog/ci/check-tests.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,335 root INFO copying pythondata_cpu_cva6/system_verilog/ci/default.config -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,336 root INFO copying pythondata_cpu_cva6/system_verilog/ci/float.config -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,337 root INFO copying pythondata_cpu_cva6/system_verilog/ci/get-torture.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,338 root INFO copying pythondata_cpu_cva6/system_verilog/ci/gitlab-ci-emul.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,339 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-dtc.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,339 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-fesvr.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,340 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-riscvpk.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,341 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-spike.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,342 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-verilator.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,343 root INFO copying pythondata_cpu_cva6/system_verilog/ci/make-tmp.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,344 root INFO copying pythondata_cpu_cva6/system_verilog/ci/path-setup.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,345 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-amo-tests.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,346 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-asm-tests.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,346 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-benchmarks.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,347 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-fp-tests.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,348 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-mul-tests.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,349 root INFO copying pythondata_cpu_cva6/system_verilog/ci/setup.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,350 root INFO copying pythondata_cpu_cva6/system_verilog/ci/torture_make.patch -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,350 root INFO copying pythondata_cpu_cva6/system_verilog/ci/travis-ci-emul.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:32,351 root INFO copying pythondata_cpu_cva6/system_verilog/docs/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,352 root INFO copying pythondata_cpu_cva6/system_verilog/docs/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,353 root INFO copying pythondata_cpu_cva6/system_verilog/docs/commit_stage.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,354 root INFO copying pythondata_cpu_cva6/system_verilog/docs/cva6_soc.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,355 root INFO copying pythondata_cpu_cva6/system_verilog/docs/ex_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,356 root INFO copying pythondata_cpu_cva6/system_verilog/docs/id_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,356 root INFO copying pythondata_cpu_cva6/system_verilog/docs/if_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,357 root INFO copying pythondata_cpu_cva6/system_verilog/docs/index.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,358 root INFO copying pythondata_cpu_cva6/system_verilog/docs/intro.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,359 root INFO copying pythondata_cpu_cva6/system_verilog/docs/issue_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,360 root INFO copying pythondata_cpu_cva6/system_verilog/docs/make.bat -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,361 root INFO copying pythondata_cpu_cva6/system_verilog/docs/pcgen_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,362 root INFO copying pythondata_cpu_cva6/system_verilog/docs/requirements.txt -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:32,362 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,363 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,364 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x_gate -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,364 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv0 -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,365 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv32 -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,366 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imafc_sv32 -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,367 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39 -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,368 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39_gate -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,369 root INFO copying pythondata_cpu_cva6/system_verilog/core/alu.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,370 root INFO copying pythondata_cpu_cva6/system_verilog/core/amo_buffer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,370 root INFO copying pythondata_cpu_cva6/system_verilog/core/ariane.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,371 root INFO copying pythondata_cpu_cva6/system_verilog/core/ariane_regfile.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,372 root INFO copying pythondata_cpu_cva6/system_verilog/core/ariane_regfile_ff.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,373 root INFO copying pythondata_cpu_cva6/system_verilog/core/axi_adapter.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,374 root INFO copying pythondata_cpu_cva6/system_verilog/core/axi_shim.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,375 root INFO copying pythondata_cpu_cva6/system_verilog/core/branch_unit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,376 root INFO copying pythondata_cpu_cva6/system_verilog/core/commit_stage.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,376 root INFO copying pythondata_cpu_cva6/system_verilog/core/compressed_decoder.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,377 root INFO copying pythondata_cpu_cva6/system_verilog/core/controller.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,378 root INFO copying pythondata_cpu_cva6/system_verilog/core/csr_buffer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,379 root INFO copying pythondata_cpu_cva6/system_verilog/core/csr_regfile.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,380 root INFO copying pythondata_cpu_cva6/system_verilog/core/cva6.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,381 root INFO copying pythondata_cpu_cva6/system_verilog/core/cvxif_fu.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,382 root INFO copying pythondata_cpu_cva6/system_verilog/core/decoder.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,383 root INFO copying pythondata_cpu_cva6/system_verilog/core/dromajo_ram.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,384 root INFO copying pythondata_cpu_cva6/system_verilog/core/ex_stage.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,384 root INFO copying pythondata_cpu_cva6/system_verilog/core/fpu_wrap.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,385 root INFO copying pythondata_cpu_cva6/system_verilog/core/id_stage.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,386 root INFO copying pythondata_cpu_cva6/system_verilog/core/instr_realign.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,387 root INFO copying pythondata_cpu_cva6/system_verilog/core/issue_read_operands.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,388 root INFO copying pythondata_cpu_cva6/system_verilog/core/issue_stage.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,389 root INFO copying pythondata_cpu_cva6/system_verilog/core/load_store_unit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,390 root INFO copying pythondata_cpu_cva6/system_verilog/core/load_unit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,390 root INFO copying pythondata_cpu_cva6/system_verilog/core/lsu_bypass.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,391 root INFO copying pythondata_cpu_cva6/system_verilog/core/mult.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,392 root INFO copying pythondata_cpu_cva6/system_verilog/core/multiplier.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,393 root INFO copying pythondata_cpu_cva6/system_verilog/core/perf_counters.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,394 root INFO copying pythondata_cpu_cva6/system_verilog/core/re_name.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,395 root INFO copying pythondata_cpu_cva6/system_verilog/core/scoreboard.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,395 root INFO copying pythondata_cpu_cva6/system_verilog/core/serdiv.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,396 root INFO copying pythondata_cpu_cva6/system_verilog/core/store_buffer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,397 root INFO copying pythondata_cpu_cva6/system_verilog/core/store_unit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:32,398 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common 2024-03-06 23:02:32,398 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local 2024-03-06 23:02:32,399 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:32,399 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/ex_trace_item.svh -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:32,400 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/find_first_one.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:32,401 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/instr_trace_item.svh -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:32,402 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:32,403 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer_if.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:32,404 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/sram.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:32,404 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_fpga_wrapper.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:32,405 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_wrapper.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:32,406 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib 2024-03-06 23:02:32,406 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga 2024-03-06 23:02:32,407 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-03-06 23:02:32,407 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncDpRam.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-03-06 23:02:32,408 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRam.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-03-06 23:02:32,409 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-03-06 23:02:32,410 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx64.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-03-06 23:02:32,411 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncTpRam.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-03-06 23:02:32,412 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-03-06 23:02:32,412 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/clint/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-03-06 23:02:32,413 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/clint/axi_lite_interface.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-03-06 23:02:32,414 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/clint/clint.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-03-06 23:02:32,415 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:32,415 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:32,416 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/ariane.dts -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:32,417 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:32,418 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:32,419 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:32,420 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/dromajo_bootrom.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:32,421 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/encoding.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:32,421 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/linker.ld -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:32,422 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/include 2024-03-06 23:02:32,423 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/include/traced_instr_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/include 2024-03-06 23:02:32,424 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:32,425 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane-multi-hart.cfg -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:32,425 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane.cfg -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:32,426 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod.cfg -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:32,427 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod_tiny.cfg -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:32,428 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/sourceme.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:32,429 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/ariane_verilog_wrap.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-03-06 23:02:32,430 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/riscv_peripherals.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-03-06 23:02:32,431 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_axi_soc_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:32,431 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_peripherals.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:32,432 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_soc_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:32,433 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.cpp -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:32,434 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:32,435 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_testharness.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:32,436 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:32,437 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_tracer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:32,438 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_peripherals_xilinx.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:32,438 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_xilinx.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:32,439 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/fan_ctrl.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:32,440 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/genesysii.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:32,441 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/kc705.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:32,442 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vc707.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:32,443 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vcu118.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:32,443 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-03-06 23:02:32,444 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-03-06 23:02:32,445 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/ariane_xlnx_ip.yml -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-03-06 23:02:32,446 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/common.mk -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-03-06 23:02:32,446 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-03-06 23:02:32,447 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/ariane.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-03-06 23:02:32,448 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/genesys-2.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-03-06 23:02:32,449 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/kc705.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-03-06 23:02:32,450 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vc707.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-03-06 23:02:32,450 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vcu118.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-03-06 23:02:32,452 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/check_fpga_boot.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:32,453 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:32,454 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program_genesys2.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:32,454 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/prologue.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:32,455 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:32,456 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/write_cfgmem.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:32,457 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:32,458 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:32,459 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:32,460 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:32,460 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:32,461 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:32,462 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:32,463 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv32a6.dts -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:32,464 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv64a6.dts -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:32,465 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/linker.lds -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:32,466 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/platform.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:32,467 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/startup.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:32,467 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:32,468 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:32,469 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:32,470 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/main.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:32,470 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:32,471 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:32,472 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/smp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:32,473 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:32,474 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:32,475 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:32,475 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:32,476 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio 2024-03-06 23:02:32,477 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio 2024-03-06 23:02:32,478 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi 2024-03-06 23:02:32,478 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi 2024-03-06 23:02:32,479 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master 2024-03-06 23:02:32,479 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master 2024-03-06 23:02:32,480 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter 2024-03-06 23:02:32,481 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter 2024-03-06 23:02:32,481 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen 2024-03-06 23:02:32,482 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen 2024-03-06 23:02:32,483 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave 2024-03-06 23:02:32,483 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave 2024-03-06 23:02:32,484 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter 2024-03-06 23:02:32,485 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter 2024-03-06 23:02:32,485 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila 2024-03-06 23:02:32,486 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila 2024-03-06 23:02:32,487 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-03-06 23:02:32,487 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-03-06 23:02:32,488 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_genesys2.prj -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-03-06 23:02:32,489 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_kc705.prj -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-03-06 23:02:32,490 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_vc707.prj -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-03-06 23:02:32,491 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker 2024-03-06 23:02:32,491 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker 2024-03-06 23:02:32,492 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl 2024-03-06 23:02:32,492 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl 2024-03-06 23:02:32,493 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl 2024-03-06 23:02:32,494 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl 2024-03-06 23:02:32,494 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl 2024-03-06 23:02:32,495 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl 2024-03-06 23:02:32,496 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl 2024-03-06 23:02:32,496 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl 2024-03-06 23:02:32,497 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl 2024-03-06 23:02:32,498 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl 2024-03-06 23:02:32,498 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl 2024-03-06 23:02:32,499 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl 2024-03-06 23:02:32,500 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl 2024-03-06 23:02:32,500 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl 2024-03-06 23:02:32,501 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl 2024-03-06 23:02:32,502 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl 2024-03-06 23:02:32,502 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl 2024-03-06 23:02:32,503 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl 2024-03-06 23:02:32,504 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl 2024-03-06 23:02:32,504 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl 2024-03-06 23:02:32,505 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom 2024-03-06 23:02:32,506 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:32,507 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:32,507 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:32,508 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/linker.lds -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:32,509 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/platform.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:32,510 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/startup.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:32,511 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-03-06 23:02:32,512 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-03-06 23:02:32,513 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/bootrom.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-03-06 23:02:32,513 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/linker.ld -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-03-06 23:02:32,514 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:32,515 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:32,516 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:32,516 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/main.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:32,517 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:32,518 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:32,519 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/smp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:32,520 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:32,521 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:32,522 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:32,522 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:32,523 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,524 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimDTM.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,525 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimJTAG.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,525 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/assign.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,526 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/core_mem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,527 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/dp_ram.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,528 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/mock_uart.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,529 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/spike.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,530 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/string_buffer.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,531 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,531 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_amoport.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,532 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_dcache_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,533 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_readport.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,534 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_writeport.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,535 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/uart.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:32,536 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,536 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimDTM.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,537 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimJTAG.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,538 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/bootrom.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,539 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/dromajo_cosim_dpi.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,540 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/elfloader.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,540 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,541 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,542 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,543 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,544 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,545 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,546 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/spike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,546 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/verilator.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:32,547 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,548 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/LICENSE -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,549 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/Makefile.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,550 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,551 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/aclocal.m4 -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,551 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/config.h.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,552 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,554 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,554 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-dummy_rocc.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,555 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-riscv.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,556 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-softfloat.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,557 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,558 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike_main.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:32,558 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-03-06 23:02:32,559 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-03-06 23:02:32,560 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-03-06 23:02:32,561 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-03-06 23:02:32,562 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/wave.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-03-06 23:02:32,562 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-03-06 23:02:32,563 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-03-06 23:02:32,564 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-03-06 23:02:32,565 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-03-06 23:02:32,565 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-03-06 23:02:32,566 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-03-06 23:02:32,567 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-03-06 23:02:32,568 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-03-06 23:02:32,568 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/wave.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-03-06 23:02:32,569 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-03-06 23:02:32,570 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-03-06 23:02:32,571 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-03-06 23:02:32,571 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-03-06 23:02:32,572 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/wave.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-03-06 23:02:32,573 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave 2024-03-06 23:02:32,574 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave/wave_core.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave 2024-03-06 23:02:32,575 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-03-06 23:02:32,575 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-03-06 23:02:32,576 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-03-06 23:02:32,577 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-03-06 23:02:32,578 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/wave.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-03-06 23:02:32,578 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:32,579 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/disasm.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:32,580 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike-dasm.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:32,581 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:32,581 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:32,582 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.mk.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:32,583 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/termios-xspike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:32,584 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/xspike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:32,585 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.s -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-03-06 23:02:32,585 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,586 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_add.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,587 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_classify.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,588 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_div.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,589 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,589 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq_signaling.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,590 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_isSignalingNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,591 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,592 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,593 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,594 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,595 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mul.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,595 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mulAdd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,596 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_rem.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,597 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_roundToInt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,598 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sqrt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,599 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sub.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,600 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,601 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,601 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,602 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,603 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,604 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,605 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,606 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,607 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,608 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,608 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,609 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_add.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,610 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_div.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,611 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,612 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq_signaling.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,613 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_isSignalingNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,613 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,614 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,615 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,616 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,617 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mul.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,618 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mulAdd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,619 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_rem.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,619 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_roundToInt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,620 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sqrt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,621 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sub.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,622 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,623 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,624 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,625 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,625 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,626 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,627 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,628 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,629 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,630 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,631 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,632 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_add.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,632 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_classify.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,633 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_div.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,634 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,635 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq_signaling.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,636 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_isSignalingNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,637 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,638 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,639 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,639 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,640 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mul.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,641 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mulAdd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,642 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_rem.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,643 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_roundToInt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,644 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sqrt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,645 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sub.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,645 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,646 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,647 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,648 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,649 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,650 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,651 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,651 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,652 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,653 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,654 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,655 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_add.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,656 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_classify.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,657 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_div.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,658 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,658 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq_signaling.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,659 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_isSignalingNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,660 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,661 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,662 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,663 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,664 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mul.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,664 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mulAdd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,665 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_rem.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,666 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_roundToInt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,667 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sqrt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,668 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sub.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,669 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,670 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,670 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,671 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,672 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,673 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,674 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,675 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,676 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,677 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,677 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,678 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,679 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,680 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,681 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,682 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,683 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,683 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,684 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,685 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/internals.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,686 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/platform.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,687 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitiveTypes.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,688 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitives.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,689 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,690 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,690 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addCarryM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,691 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addComplCarryM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,692 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,693 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,694 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,695 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,696 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,696 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip32_1.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,697 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt32_1.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,698 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt_1Ks.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,699 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip_1Ks.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,700 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF128UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,701 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF16UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,702 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF32UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,703 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF64UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,703 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare128M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,704 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare96M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,705 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,706 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,707 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,708 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros8.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,709 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_eq128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,710 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f128UIToCommonNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,710 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f16UIToCommonNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,711 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f32UIToCommonNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,712 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f64UIToCommonNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,713 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_le128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,714 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_lt128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,715 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128By32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,716 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128MTo256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,716 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128To256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,717 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64ByShifted32To128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,718 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,719 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,720 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,721 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,722 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,723 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,724 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_negXM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,724 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,725 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,726 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,727 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,728 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF128Sig.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,729 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF16Sig.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,730 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF32Sig.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,731 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF64Sig.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,732 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF128UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,732 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF16UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,733 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF32UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,734 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF64UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,735 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_remStepMBy32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,736 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,737 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToUI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,738 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,738 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToUI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,739 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,740 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,741 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,742 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,743 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,744 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,744 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,745 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,746 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,747 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,748 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,749 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,750 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,750 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128Extra.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,751 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,752 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,753 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,754 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64Extra.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,755 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,756 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft64To96M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,756 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRight128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,757 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightExtendM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,758 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,759 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128Extra.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,760 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,761 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64Extra.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,762 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,762 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,763 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub1XM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,764 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,765 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,766 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,767 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,768 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,768 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,769 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,770 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,771 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.mk.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,772 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_raiseFlags.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,773 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_state.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,774 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_types.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,774 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/specialize.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,775 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,776 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,777 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,778 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,779 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,780 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,780 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,781 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:32,782 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,783 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/bootrom.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,784 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,784 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,785 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/clint.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,786 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/common.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,787 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_defines.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,788 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,789 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,790 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_rom_defines.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,791 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/decode.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,791 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,792 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,793 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/disasm.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,794 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,795 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,796 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dump.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,796 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/encoding.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,797 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/execute.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,798 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,799 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,800 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extensions.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,801 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/gen_icache -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,802 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,803 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,803 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/interactive.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,804 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,805 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,806 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/memtracer.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,807 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,808 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,809 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mulhi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,810 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/opcodes.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,810 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,811 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,812 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/regnames.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,813 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,814 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,815 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,816 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.mk.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,817 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,817 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,818 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rom.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,819 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,820 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,821 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/simif.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,822 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/tracer.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,822 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,823 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,824 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/uart.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:32,825 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-03-06 23:02:32,825 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-03-06 23:02:32,826 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-03-06 23:02:32,827 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-03-06 23:02:32,828 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-03-06 23:02:32,829 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/link.ld -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-03-06 23:02:32,830 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-03-06 23:02:32,830 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-03-06 23:02:32,831 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-03-06 23:02:32,832 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.mk.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-03-06 23:02:32,833 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc_test.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-03-06 23:02:32,833 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-03-06 23:02:32,834 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.guess -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-03-06 23:02:32,835 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.sub -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-03-06 23:02:32,836 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/install.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-03-06 23:02:32,837 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/mk-install-dirs.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-03-06 23:02:32,838 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/vcs-version.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-03-06 23:02:32,838 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,839 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/add.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,840 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,841 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addiw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,841 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,842 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,843 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,844 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,845 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,846 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,847 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,847 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,848 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,849 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,850 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,851 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,852 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,853 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,853 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,854 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,855 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,856 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,857 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,858 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/and.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,859 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/andi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,859 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/auipc.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,860 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/beq.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,861 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bge.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,862 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bgeu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,863 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/blt.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,864 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bltu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,865 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bne.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,865 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_add.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,866 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,867 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi4spn.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,868 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,869 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_and.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,870 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_andi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,871 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_beqz.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,871 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_bnez.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,872 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_ebreak.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,873 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fld.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,874 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fldsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,875 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,876 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flwsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,877 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,877 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsdsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,878 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,879 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fswsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,880 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_j.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,881 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jal.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,882 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jalr.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,883 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jr.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,883 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_li.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,884 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lui.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,885 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,886 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lwsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,887 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_mv.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,888 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_or.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,889 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_slli.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,890 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srai.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,890 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srli.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,891 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sub.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,892 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_subw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,893 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,894 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_swsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,895 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_xor.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,896 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrc.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,896 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrci.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,897 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrs.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,898 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrsi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,899 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,900 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrwi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,901 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/div.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,902 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,902 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divuw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,903 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,904 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/dret.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,905 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ebreak.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,906 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ecall.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,907 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,907 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,908 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,909 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,910 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,911 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,912 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_l.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,913 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_lu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,913 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,914 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,915 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,916 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_wu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,917 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,918 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,919 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,919 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,920 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,921 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,922 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,923 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_l.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,924 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_lu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,925 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,925 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,926 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_wu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,927 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,928 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_l.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,929 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_lu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,930 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,931 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,932 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_wu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,932 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,933 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,934 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,935 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,936 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,937 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,938 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,939 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,939 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,940 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,941 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence_i.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,942 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,943 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,944 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,944 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fld.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,945 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,946 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,947 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,948 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flq.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,949 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,950 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,951 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,951 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,952 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,953 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,954 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,955 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,956 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,957 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,957 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,958 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,959 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,960 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,961 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,962 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,963 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,963 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,964 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,965 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_d_x.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,966 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_w_x.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,967 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,968 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,969 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,970 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,970 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,971 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,972 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,973 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,974 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,975 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,976 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,976 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,977 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,978 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,979 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,980 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,981 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,982 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,982 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsq.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,983 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,984 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,985 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,986 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,987 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,988 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,988 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,989 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jal.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,990 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jalr.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,991 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lb.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,992 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lbu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,993 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ld.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,994 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lh.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,995 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lhu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,996 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,996 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,997 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lui.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,998 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:32,999 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lwu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,000 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mret.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,001 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mul.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,002 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulh.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,003 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhsu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,003 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,004 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,005 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/or.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,006 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ori.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,007 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/rem.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,008 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,009 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remuw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,010 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,010 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sb.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,011 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,012 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,013 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,014 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sfence_vma.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,015 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sh.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,016 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sll.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,017 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slli.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,017 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slliw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,018 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sllw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,019 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slt.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,020 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slti.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,021 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltiu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,022 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,023 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sra.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,023 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srai.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,024 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraiw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,025 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,026 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sret.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,027 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srl.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,028 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srli.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,029 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srliw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,030 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srlw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,030 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sub.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,031 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/subw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,032 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,033 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/wfi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,034 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xor.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,035 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xori.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,036 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl 2024-03-06 23:02:33,036 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl 2024-03-06 23:02:33,037 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,037 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,038 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_div.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,039 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,040 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_rem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,041 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_udiv.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,042 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_urem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,043 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl 2024-03-06 23:02:33,043 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl 2024-03-06 23:02:33,044 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-03-06 23:02:33,045 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-03-06 23:02:33,045 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb_mem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-03-06 23:02:33,046 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-03-06 23:02:33,047 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/mem_emul.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-03-06 23:02:33,048 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-03-06 23:02:33,049 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-03-06 23:02:33,049 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tlb_emul.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-03-06 23:02:33,050 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-03-06 23:02:33,051 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-03-06 23:02:33,052 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/cva6_read.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-03-06 23:02:33,053 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/cva6_synth.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-03-06 23:02:33,054 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/tc_sram_wrapper_256_64_00000008_00000001_00000001_none_0.sv -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-03-06 23:02:33,054 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-03-06 23:02:33,055 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup_filenames.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-03-06 23:02:33,056 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-03-06 23:02:33,057 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-03-06 23:02:33,058 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/make.bat -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-03-06 23:02:33,059 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/requirements.txt -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-03-06 23:02:33,060 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications 2024-03-06 23:02:33,060 root INFO copying pythondata_cpu_cva6/system_verilog/docs/specifications/cva6_requirement_specification.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications 2024-03-06 23:02:33,061 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/user_guide 2024-03-06 23:02:33,061 root INFO copying pythondata_cpu_cva6/system_verilog/docs/user_guide/cva6_ug_csr.adoc -> build/lib/pythondata_cpu_cva6/system_verilog/docs/user_guide 2024-03-06 23:02:33,062 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,063 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,065 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,067 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/bg.jpg -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,070 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,072 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,073 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,075 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,076 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,079 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,080 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/jekyll-dark.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,081 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/jekyll.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,082 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/ld_pipeline_diagram.svg -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,083 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/logonav.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,084 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,086 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,088 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,090 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,092 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/openhw-landscape.svg -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,093 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,096 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,097 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.ai -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,102 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:33,103 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:33,103 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/CVA6_subsystems.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:33,104 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/ariane_overview.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:33,106 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/bht.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:33,107 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/frontend_modules.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:33,108 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/jade_design_automation_logo.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:33,109 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/openhw-landscape.svg -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:33,110 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/subsystems.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:33,111 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:33,112 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.xml -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:33,114 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_frontend.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:33,115 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_glossary.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:33,116 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_intro.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:33,117 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_system.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:33,117 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/index.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:33,118 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications/images 2024-03-06 23:02:33,119 root INFO copying pythondata_cpu_cva6/system_verilog/docs/specifications/images/cva6_scope.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications/images 2024-03-06 23:02:33,120 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-03-06 23:02:33,120 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_mmu_sv32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-03-06 23:02:33,121 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_ptw_sv32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-03-06 23:02:33,122 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_tlb_sv32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-03-06 23:02:33,123 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,123 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/ariane_axi_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,124 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/ariane_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,125 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/ariane_rvfi_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,126 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/axi_intf.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,127 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv32a60x_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,128 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv0_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,129 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv32_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,129 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imafc_sv32_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,130 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,131 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_openpiton_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,132 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cvxif_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,133 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/instr_tracer_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,134 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/riscv_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,135 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/std_cache_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,135 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/wt_cache_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:33,136 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-03-06 23:02:33,137 root INFO copying pythondata_cpu_cva6/system_verilog/core/cvxif_example/cvxif_example_coprocessor.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-03-06 23:02:33,138 root INFO copying pythondata_cpu_cva6/system_verilog/core/cvxif_example/instr_decoder.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-03-06 23:02:33,138 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-03-06 23:02:33,139 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv39/mmu.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-03-06 23:02:33,140 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv39/ptw.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-03-06 23:02:33,141 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv39/tlb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-03-06 23:02:33,142 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,142 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/amo_alu.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,143 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cache_ctrl.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,144 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,145 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache_axi_wrapper.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,146 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/miss_handler.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,147 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_cache_subsystem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,148 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_nbdcache.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,148 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_no_dcache.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,149 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/tag_cmp.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,150 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_axi_adapter.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,151 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_cache_subsystem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,152 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,153 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_ctrl.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,154 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_mem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,154 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_missunit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,155 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_wbuffer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,156 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_l15_adapter.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:33,157 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-03-06 23:02:33,158 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-03-06 23:02:33,158 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/Bender.yml -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-03-06 23:02:33,159 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-03-06 23:02:33,160 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-03-06 23:02:33,161 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/formal.sby -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-03-06 23:02:33,162 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:33,162 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/bht.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:33,163 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/btb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:33,164 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/frontend.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:33,165 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/instr_queue.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:33,166 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/instr_scan.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:33,166 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/ras.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:33,167 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/example_tb 2024-03-06 23:02:33,168 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results 2024-03-06 23:02:33,168 root INFO copying pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results/Vcva6_core_only_tb -> build/lib/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results 2024-03-06 23:02:33,174 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include 2024-03-06 23:02:33,174 root INFO copying pythondata_cpu_cva6/system_verilog/core/cvxif_example/include/cvxif_instr_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include 2024-03-06 23:02:33,175 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-03-06 23:02:33,176 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-03-06 23:02:33,177 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp_entry.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-03-06 23:02:33,178 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/include 2024-03-06 23:02:33,178 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/include/riscv.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/include 2024-03-06 23:02:33,179 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-03-06 23:02:33,179 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/tb/pmp_tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-03-06 23:02:33,180 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/tb/tb_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-03-06 23:02:33,255 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:02:33,255 root INFO running install 2024-03-06 23:02:33,332 root INFO running install_lib 2024-03-06 23:02:33,342 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:02:33,342 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:02:33,343 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6 2024-03-06 23:02:33,344 root INFO copying build/lib/pythondata_cpu_cva6/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6 2024-03-06 23:02:33,345 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,345 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/CODEOWNERS -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,346 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common 2024-03-06 23:02:33,347 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local 2024-03-06 23:02:33,347 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:33,348 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_fpga_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:33,349 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/sram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:33,350 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/ex_trace_item.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:33,350 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:33,351 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:33,352 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/find_first_one.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:33,353 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/instr_trace_item.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:33,354 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-03-06 23:02:33,355 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib 2024-03-06 23:02:33,355 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga 2024-03-06 23:02:33,356 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-03-06 23:02:33,356 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx32.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-03-06 23:02:33,357 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncDpRam.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-03-06 23:02:33,358 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRam.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-03-06 23:02:33,359 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncTpRam.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-03-06 23:02:33,360 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx64.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-03-06 23:02:33,361 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu 2024-03-06 23:02:33,361 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-03-06 23:02:33,362 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint/clint.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-03-06 23:02:33,363 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint/axi_lite_interface.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-03-06 23:02:33,363 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-03-06 23:02:33,364 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:33,365 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/ariane.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:33,366 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/gen_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:33,367 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/dromajo_bootrom.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:33,367 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:33,368 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:33,369 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/linker.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:33,370 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:33,371 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/encoding.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:33,372 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:33,372 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-03-06 23:02:33,373 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/include 2024-03-06 23:02:33,374 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/include/traced_instr_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/include 2024-03-06 23:02:33,375 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:33,375 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/sourceme.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:33,376 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:33,377 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/genesysii.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:33,378 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,378 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:33,379 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/smp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:33,380 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:33,381 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/main.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:33,381 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:33,382 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:33,383 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:33,384 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:33,385 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:33,386 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:33,386 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-03-06 23:02:33,387 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv64a6.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,388 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/gen_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,389 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,389 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/linker.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,390 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,391 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,392 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,393 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/platform.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,393 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,394 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/startup.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,395 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,396 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv32a6.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,397 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-03-06 23:02:33,397 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_xilinx.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:33,398 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vc707.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:33,399 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_peripherals_xilinx.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:33,400 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/kc705.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:33,401 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/fan_ctrl.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:33,401 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vcu118.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-03-06 23:02:33,402 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-03-06 23:02:33,403 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio 2024-03-06 23:02:33,403 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl 2024-03-06 23:02:33,404 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl 2024-03-06 23:02:33,405 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio 2024-03-06 23:02:33,406 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi 2024-03-06 23:02:33,406 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl 2024-03-06 23:02:33,407 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl 2024-03-06 23:02:33,408 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi 2024-03-06 23:02:33,408 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master 2024-03-06 23:02:33,409 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl 2024-03-06 23:02:33,410 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl 2024-03-06 23:02:33,410 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master 2024-03-06 23:02:33,411 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-03-06 23:02:33,412 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter 2024-03-06 23:02:33,413 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl 2024-03-06 23:02:33,413 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl 2024-03-06 23:02:33,414 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter 2024-03-06 23:02:33,415 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen 2024-03-06 23:02:33,415 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl 2024-03-06 23:02:33,416 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl 2024-03-06 23:02:33,417 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen 2024-03-06 23:02:33,417 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave 2024-03-06 23:02:33,418 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl 2024-03-06 23:02:33,419 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl 2024-03-06 23:02:33,419 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave 2024-03-06 23:02:33,420 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/ariane_xlnx_ip.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-03-06 23:02:33,421 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter 2024-03-06 23:02:33,422 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl 2024-03-06 23:02:33,422 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl 2024-03-06 23:02:33,423 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter 2024-03-06 23:02:33,424 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila 2024-03-06 23:02:33,424 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl 2024-03-06 23:02:33,425 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl 2024-03-06 23:02:33,426 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila 2024-03-06 23:02:33,426 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/common.mk -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-03-06 23:02:33,427 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-03-06 23:02:33,428 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_genesys2.prj -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-03-06 23:02:33,429 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_vc707.prj -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-03-06 23:02:33,429 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_kc705.prj -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-03-06 23:02:33,430 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl 2024-03-06 23:02:33,431 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl 2024-03-06 23:02:33,432 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-03-06 23:02:33,432 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker 2024-03-06 23:02:33,433 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl 2024-03-06 23:02:33,434 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl 2024-03-06 23:02:33,434 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker 2024-03-06 23:02:33,435 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod_tiny.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:33,436 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-03-06 23:02:33,437 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/ariane.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-03-06 23:02:33,437 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/kc705.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-03-06 23:02:33,438 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vcu118.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-03-06 23:02:33,439 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vc707.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-03-06 23:02:33,440 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/genesys-2.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-03-06 23:02:33,441 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:33,442 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:33,443 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane-multi-hart.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:33,443 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-03-06 23:02:33,444 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:33,445 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/write_cfgmem.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:33,445 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/run.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:33,446 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/check_fpga_boot.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:33,447 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/prologue.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:33,448 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:33,448 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/linux_boot.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:33,449 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program_genesys2.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-03-06 23:02:33,450 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-03-06 23:02:33,451 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom 2024-03-06 23:02:33,451 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:33,452 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:33,453 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/smp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:33,453 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:33,454 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/main.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:33,455 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:33,456 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:33,456 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:33,457 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:33,458 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:33,459 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:33,459 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-03-06 23:02:33,460 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/gen_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:33,461 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:33,462 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/linker.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:33,462 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/platform.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:33,463 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/startup.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:33,464 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:33,465 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-03-06 23:02:33,465 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom 2024-03-06 23:02:33,466 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-03-06 23:02:33,467 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/gen_rom.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-03-06 23:02:33,468 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-03-06 23:02:33,468 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/linker.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-03-06 23:02:33,469 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-03-06 23:02:33,470 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/bootrom.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-03-06 23:02:33,471 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/riscv_peripherals.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-03-06 23:02:33,472 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/ariane_verilog_wrap.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-03-06 23:02:33,473 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:33,473 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:33,474 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,474 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,475 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/spike.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,476 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/assign.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,477 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/uart.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,478 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/dp_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,478 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_dcache_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,479 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/mock_uart.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,480 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimDTM.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,481 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_writeport.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,482 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/core_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,482 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_readport.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,483 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/string_buffer.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,484 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_amoport.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,485 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimJTAG.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-03-06 23:02:33,485 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,486 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,487 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimJTAG.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,487 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,488 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimDTM.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,489 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/spike.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,490 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,490 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,491 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/dromajo_cosim_dpi.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,492 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/verilator.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,493 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/elfloader.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,493 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/bootrom.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,494 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,495 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-03-06 23:02:33,496 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_axi_soc_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:33,497 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,497 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike_main.pc.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,498 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-dummy_rocc.pc.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,499 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,499 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:33,500 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.mk.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:33,501 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:33,502 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/xspike.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:33,502 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.ac -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:33,503 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/disasm.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:33,504 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/termios-xspike.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:33,504 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike-dasm.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-03-06 23:02:33,505 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-03-06 23:02:33,506 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-03-06 23:02:33,507 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/testlib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-03-06 23:02:33,507 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.s -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-03-06 23:02:33,508 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,509 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,510 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,511 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,512 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,512 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip_1Ks.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,513 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_le128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,514 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_eq128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,515 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,515 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,516 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,517 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/internals.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,518 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,518 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,519 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,520 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,521 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,521 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt_1Ks.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,522 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub1XM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,523 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,524 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,524 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,525 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,526 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF64Sig.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,527 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,528 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_rem.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,528 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,529 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64Extra.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,530 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,531 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,531 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF16UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,532 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,533 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,534 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,534 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,535 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mul.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,536 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,537 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,538 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,538 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,539 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,540 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightExtendM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,541 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,541 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sub.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,542 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,543 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,544 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,544 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_isSignalingNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,545 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mulAdd.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,546 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,547 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,548 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sub.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,548 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRight128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,549 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare96M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,550 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq_signaling.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,551 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,551 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,552 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mul.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,553 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,554 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,554 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_state.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,555 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_div.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,556 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,557 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,558 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq_signaling.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,558 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,559 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,560 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,561 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,561 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,562 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,563 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,564 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,564 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub256M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,565 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_div.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,566 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,567 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam256M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,568 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,568 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF16Sig.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,569 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,570 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_roundToInt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,571 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF64UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,571 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF64UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,572 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,573 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,574 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,575 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,575 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF128Sig.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,576 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f16UIToCommonNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,577 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,578 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,578 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,579 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sub.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,580 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,581 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,582 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,582 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,583 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,584 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,585 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128Extra.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,585 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,586 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128MTo256M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,587 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_add.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,588 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,588 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitiveTypes.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,589 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,590 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq_signaling.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,591 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addCarryM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,592 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.mk.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,592 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,593 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,594 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128To256M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,595 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToUI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,595 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_rem.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,596 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_classify.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,597 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF32UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,598 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,599 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,599 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_rem.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,600 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,601 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f32UIToCommonNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,602 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,602 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,603 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,604 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/specialize.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,605 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_roundToInt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,606 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq_signaling.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,606 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,607 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,608 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF32UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,609 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,609 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,610 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,611 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64ByShifted32To128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,612 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,612 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_remStepMBy32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,613 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF16UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,614 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/platform.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,615 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_isSignalingNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,615 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_add.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,616 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,617 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128By32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,618 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,619 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,619 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,620 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_classify.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,621 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,622 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f64UIToCommonNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,622 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF128UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,623 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mulAdd.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,624 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,625 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,626 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF32Sig.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,626 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,627 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,628 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare128M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,629 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128Extra.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,629 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,630 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mulAdd.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,631 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_add.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,632 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,632 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_isSignalingNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,633 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,634 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,635 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,636 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,636 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_roundToInt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,637 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,638 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_lt128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,639 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,639 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_roundToInt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,640 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF128UI.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,641 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,642 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_types.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,643 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.ac -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,643 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,644 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le_quiet.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,645 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,646 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,646 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,647 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f128UIToCommonNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,648 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64Extra.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,649 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,649 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,650 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,651 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft64To96M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,652 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,652 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mul.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,653 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,654 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add256M.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,655 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_div.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,655 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_negXM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,656 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,657 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,658 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mul.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,658 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,659 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip32_1.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,660 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,661 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_div.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,662 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sub.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,662 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,663 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft128.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,664 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_isSignalingNaN.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,665 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros8.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,665 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,666 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,667 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,668 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_add.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,669 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,669 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_rem.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,670 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f16.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,671 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,672 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,673 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,673 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,674 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mulAdd.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,675 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,676 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_raiseFlags.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,677 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,677 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,678 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt32_1.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,679 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,680 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitives.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,680 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToUI64.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,681 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addComplCarryM.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,682 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f32.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,683 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64_r_minMag.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,684 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,684 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_classify.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-03-06 23:02:33,685 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike.pc.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,686 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-softfloat.pc.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,687 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,688 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/aclocal.m4 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,689 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-riscv.pc.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,690 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,690 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/clint.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,691 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.ac -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,692 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,692 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,693 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,694 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/interactive.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,695 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,696 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/decode.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,696 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,697 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/opcodes.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,698 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,699 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,699 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.mk.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,700 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,701 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,702 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,703 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,703 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,704 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,705 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,706 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,706 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,707 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_rom_defines.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,708 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,709 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/memtracer.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,710 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,710 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extensions.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,711 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/disasm.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,712 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,713 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,713 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,714 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rom.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,715 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/bootrom.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,716 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/execute.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,716 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mulhi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,717 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/tracer.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,718 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,719 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,719 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/regnames.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,720 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,721 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,722 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,722 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/common.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,723 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/uart.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,724 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/simif.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,725 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_defines.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,726 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/encoding.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,727 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,728 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,729 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,729 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,730 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/rem.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,731 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,732 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jal.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,732 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,733 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lui.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,734 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi4spn.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,735 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/andi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,735 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/add.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,736 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,737 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,738 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sub.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,738 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,739 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,740 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,741 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lh.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,742 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xori.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,742 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_l.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,743 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_li.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,744 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,745 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jalr.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,745 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,746 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/div.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,747 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sub.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,748 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,748 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divuw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,749 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,750 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,751 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulh.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,751 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_wu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,752 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,753 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remuw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,754 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srlw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,754 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,755 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,756 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,757 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,758 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,758 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jr.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,759 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srli.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,760 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,761 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,761 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_slli.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,762 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,763 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,764 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,764 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sd.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,765 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,766 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,767 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrc.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,767 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,768 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fld.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,769 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,770 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,771 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sra.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,771 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,772 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,773 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,774 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltiu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,774 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,775 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,776 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/beq.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,777 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,777 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,778 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ecall.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,779 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fswsp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,780 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,781 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lhu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,781 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,782 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,783 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/dret.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,784 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,784 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_bnez.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,785 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_w_x.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,786 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,787 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,788 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,788 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,789 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,790 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flq.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,791 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_l.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,791 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,792 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_mv.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,793 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,794 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,794 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lwsp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,795 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,796 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bgeu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,797 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bne.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,798 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,798 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,799 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sfence_vma.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,800 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,801 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srli.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,801 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,802 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sret.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,803 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,804 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraiw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,805 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,805 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slti.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,806 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,807 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lui.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,808 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_lu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,808 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slli.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,809 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sb.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,810 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,811 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/blt.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,811 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,812 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_swsp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,813 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,814 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lbu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,815 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,815 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,816 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,817 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,818 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,818 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,819 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_beqz.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,820 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,821 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,822 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,822 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,823 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,824 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,825 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ori.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,826 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fldsp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,826 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,827 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,828 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsd.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,829 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,829 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,830 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,831 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_andi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,832 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_wu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,832 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jal.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,833 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,834 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,835 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,836 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,836 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,837 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,838 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,839 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xor.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,839 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrci.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,840 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,841 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,842 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,842 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srliw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,843 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrs.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,844 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slt.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,845 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srai.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,846 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,846 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,847 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_j.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,848 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,849 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sll.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,849 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,850 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lwu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,851 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,852 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addiw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,852 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jalr.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,853 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/and.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,854 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,855 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ld.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,855 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,856 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bltu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,857 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_xor.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,858 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,859 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsd.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,859 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srl.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,860 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srai.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,861 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bge.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,862 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,863 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_or.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,863 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,864 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slliw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,865 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_l.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,866 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_wu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,866 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,867 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_and.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,868 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhsu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,869 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,869 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mret.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,870 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_lu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,871 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,872 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,873 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,873 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,874 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,875 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/auipc.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,876 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,876 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sh.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,877 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/subw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,878 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsq.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,879 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_d_x.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,879 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrsi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,880 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsdsp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,881 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sllw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,882 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,883 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/wfi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,883 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,884 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ebreak.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,885 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_add.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,886 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_lu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,886 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence_i.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,887 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mul.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,888 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fld.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,889 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,890 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,890 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divu.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,891 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/or.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,892 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,893 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,893 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_w.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,894 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,895 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flwsp.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,896 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lb.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,897 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_q.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,897 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_s.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,898 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,899 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_ebreak.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,900 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,901 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,901 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_subw.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,902 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_d.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,903 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrwi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-03-06 23:02:33,904 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/gen_icache -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,905 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dump.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-03-06 23:02:33,905 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/Makefile.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,906 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,907 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure.ac -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,908 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-03-06 23:02:33,908 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-03-06 23:02:33,909 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-03-06 23:02:33,910 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-03-06 23:02:33,911 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-03-06 23:02:33,911 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-03-06 23:02:33,912 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/config.h.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-03-06 23:02:33,913 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-03-06 23:02:33,914 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.mk.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-03-06 23:02:33,914 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-03-06 23:02:33,915 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-03-06 23:02:33,916 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.ac -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-03-06 23:02:33,917 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-03-06 23:02:33,917 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.guess -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-03-06 23:02:33,918 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.sub -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-03-06 23:02:33,919 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/install.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-03-06 23:02:33,920 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/vcs-version.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-03-06 23:02:33,920 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/mk-install-dirs.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-03-06 23:02:33,921 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:33,922 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-03-06 23:02:33,923 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/wave.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-03-06 23:02:33,923 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-03-06 23:02:33,924 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl 2024-03-06 23:02:33,925 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl 2024-03-06 23:02:33,926 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/tb.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-03-06 23:02:33,926 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-03-06 23:02:33,927 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-03-06 23:02:33,928 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-03-06 23:02:33,929 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,929 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_div.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,930 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_urem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,931 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_udiv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,931 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,932 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,933 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_rem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-03-06 23:02:33,934 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/tb.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-03-06 23:02:33,934 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-03-06 23:02:33,935 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_testharness.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:33,936 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-03-06 23:02:33,937 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/wave.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-03-06 23:02:33,938 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-03-06 23:02:33,938 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl 2024-03-06 23:02:33,939 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl 2024-03-06 23:02:33,940 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/tb.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-03-06 23:02:33,941 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-03-06 23:02:33,941 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_peripherals.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:33,942 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-03-06 23:02:33,943 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/wave.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-03-06 23:02:33,943 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-03-06 23:02:33,944 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-03-06 23:02:33,945 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-03-06 23:02:33,946 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-03-06 23:02:33,946 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/tb.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-03-06 23:02:33,947 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-03-06 23:02:33,948 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave 2024-03-06 23:02:33,948 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave/wave_core.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave 2024-03-06 23:02:33,949 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-03-06 23:02:33,950 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/wave.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-03-06 23:02:33,951 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-03-06 23:02:33,951 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-03-06 23:02:33,952 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/mem_emul.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-03-06 23:02:33,953 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tlb_emul.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-03-06 23:02:33,954 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-03-06 23:02:33,954 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-03-06 23:02:33,955 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/tb.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-03-06 23:02:33,956 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-03-06 23:02:33,957 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:33,957 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_soc_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:33,958 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-03-06 23:02:33,959 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/LICENSE.SiFive -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,960 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,960 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,961 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/Flist.ariane -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,962 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-03-06 23:02:33,963 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci/cva6.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-03-06 23:02:33,963 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci/core-v-verif-cva6.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-03-06 23:02:33,964 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,965 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/init_testharness.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,966 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,966 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.editorconfig -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,967 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd 2024-03-06 23:02:33,968 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-03-06 23:02:33,968 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/cva6_synth.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-03-06 23:02:33,969 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-03-06 23:02:33,970 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/tc_sram_wrapper_256_64_00000008_00000001_00000001_none_0.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-03-06 23:02:33,971 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/cva6_read.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-03-06 23:02:33,972 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-03-06 23:02:33,972 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-03-06 23:02:33,973 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-03-06 23:02:33,974 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup_filenames.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-03-06 23:02:33,974 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/gate_analysis.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-03-06 23:02:33,975 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/config_pkg_generator.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,976 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,977 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-riscvpk.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,977 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-amo-tests.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,978 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-mul-tests.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,979 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-spike.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,980 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/make-tmp.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,980 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/path-setup.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,981 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/check-tests.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,982 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-verilator.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,983 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-benchmarks.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,983 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-fp-tests.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,984 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/build-riscv-tests.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,985 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/build-riscv-gcc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,986 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-fesvr.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,986 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/travis-ci-emul.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,987 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-dtc.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,988 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/default.config -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,989 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/torture_make.patch -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,989 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/float.config -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,990 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/setup.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,991 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-asm-tests.list -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,992 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/get-torture.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,992 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/gitlab-ci-emul.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-03-06 23:02:33,993 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/LICENSE.Berkeley -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,994 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,995 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,995 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,996 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:33,997 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:33,998 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:33,998 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/cva6_soc.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:33,999 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/intro.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:34,000 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/ex_stage.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:34,001 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/commit_stage.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:34,002 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-03-06 23:02:34,002 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:34,003 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/jade_design_automation_logo.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:34,004 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/frontend_modules.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:34,004 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/bht.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:34,005 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/ariane_overview.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:34,006 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/openhw-landscape.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:34,007 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/subsystems.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:34,008 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/CVA6_subsystems.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-03-06 23:02:34,009 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-03-06 23:02:34,010 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:34,010 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:34,011 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:34,012 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_glossary.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:34,013 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_intro.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:34,014 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_system.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:34,014 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/conf.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:34,015 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.xml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:34,016 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_frontend.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-03-06 23:02:34,017 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/requirements.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-03-06 23:02:34,018 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-03-06 23:02:34,019 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/make.bat -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-03-06 23:02:34,019 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:34,020 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/conf.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:34,021 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/if_stage.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:34,022 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/issue_stage.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:34,022 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/requirements.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:34,023 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/id_stage.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:34,024 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/specifications 2024-03-06 23:02:34,024 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/specifications/images 2024-03-06 23:02:34,025 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications/images/cva6_scope.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/specifications/images 2024-03-06 23:02:34,026 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications/cva6_requirement_specification.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/specifications 2024-03-06 23:02:34,027 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/user_guide 2024-03-06 23:02:34,027 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/user_guide/cva6_ug_csr.adoc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/user_guide 2024-03-06 23:02:34,028 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,029 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.ai -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,033 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,035 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,039 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,040 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,041 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/openhw-landscape.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,042 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/jekyll-dark.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,043 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,044 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,047 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,049 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,050 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,052 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,054 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/bg.jpg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,056 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/ld_pipeline_diagram.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,057 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,059 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,062 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,064 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/jekyll.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,065 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,066 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.pdf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,069 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/logonav.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-03-06 23:02:34,070 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/pcgen_stage.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:34,071 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:34,071 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/make.bat -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-03-06 23:02:34,072 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:34,073 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/CHANGELOG.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:34,074 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/scripts 2024-03-06 23:02:34,074 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/scripts/parse_ila_trace.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/scripts 2024-03-06 23:02:34,075 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,076 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/load_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,077 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-03-06 23:02:34,077 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_mmu_sv32.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-03-06 23:02:34,078 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_ptw_sv32.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-03-06 23:02:34,079 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_tlb_sv32.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-03-06 23:02:34,080 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/ex_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,081 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/store_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,081 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,082 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_openpiton_config_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,083 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cvxif_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,084 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/instr_tracer_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,084 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/ariane_axi_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,085 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/axi_intf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,086 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/std_cache_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,087 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv0_config_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,087 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_config_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,088 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv32a60x_config_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,089 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/wt_cache_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,090 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/riscv_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,091 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imafc_sv32_config_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,091 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/ariane_rvfi_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,092 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/ariane_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,093 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv32_config_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-03-06 23:02:34,094 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/perf_counters.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,094 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/amo_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,095 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/example_tb 2024-03-06 23:02:34,096 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results 2024-03-06 23:02:34,096 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results/Vcva6_core_only_tb -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results 2024-03-06 23:02:34,102 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imafc_sv32 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,103 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/csr_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,104 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/compressed_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,105 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,106 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/ariane_regfile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,106 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,107 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mult.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,108 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/scoreboard.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,109 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39_gate -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,110 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/re_name.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,111 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x_gate -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,111 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/lsu_bypass.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,112 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/issue_read_operands.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,113 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/commit_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,114 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,115 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-03-06 23:02:34,116 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include 2024-03-06 23:02:34,116 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include/cvxif_instr_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include 2024-03-06 23:02:34,117 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/instr_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-03-06 23:02:34,118 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/cvxif_example_coprocessor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-03-06 23:02:34,119 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/axi_shim.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,119 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/branch_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,120 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv32 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,121 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-03-06 23:02:34,122 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39/ptw.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-03-06 23:02:34,123 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39/mmu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-03-06 23:02:34,124 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39/tlb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-03-06 23:02:34,124 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/store_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,125 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/issue_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,126 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_fu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,127 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cva6.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,128 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/dromajo_ram.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,129 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/multiplier.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,130 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv0 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,130 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/serdiv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,131 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/instr_realign.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,132 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/axi_adapter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,133 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,134 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/ariane.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,135 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,135 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_wbuffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,136 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_missunit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,137 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache_axi_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,138 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_l15_adapter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,139 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_cache_subsystem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,140 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_cache_subsystem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,140 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_no_dcache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,141 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/miss_handler.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,142 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_nbdcache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,143 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_axi_adapter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,144 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/amo_alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,145 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,145 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cache_ctrl.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,146 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_ctrl.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,147 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,148 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,149 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/tag_cmp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-03-06 23:02:34,149 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/ariane_regfile_ff.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,150 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-03-06 23:02:34,151 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-03-06 23:02:34,151 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp_entry.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-03-06 23:02:34,152 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-03-06 23:02:34,153 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/include 2024-03-06 23:02:34,154 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/include/riscv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/include 2024-03-06 23:02:34,154 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-03-06 23:02:34,155 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-03-06 23:02:34,156 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb/pmp_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-03-06 23:02:34,157 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb/tb_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-03-06 23:02:34,157 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/Bender.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-03-06 23:02:34,158 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-03-06 23:02:34,159 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-03-06 23:02:34,160 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/formal.sby -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-03-06 23:02:34,160 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/fpu_wrap.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,161 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:34,162 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/instr_queue.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:34,163 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/bht.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:34,163 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/btb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:34,164 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/frontend.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:34,165 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/ras.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:34,166 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/instr_scan.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-03-06 23:02:34,166 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/csr_regfile.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,167 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/load_store_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,168 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,169 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/id_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-03-06 23:02:34,170 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.github 2024-03-06 23:02:34,170 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:34,171 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/bug.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:34,172 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/task.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:34,172 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.github/workflows 2024-03-06 23:02:34,173 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.github/workflows/ci.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog/.github/workflows 2024-03-06 23:02:34,174 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ariane.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6/system_verilog 2024-03-06 23:02:34,174 root INFO running install_egg_info 2024-03-06 23:02:34,193 root INFO Copying pythondata_cpu_cva6.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6-4.2.0.post435-py3.11.egg-info 2024-03-06 23:02:34,197 root INFO running install_scripts 2024-03-06 23:02:34,206 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_cva6-4.2.0.post435.dist-info/WHEEL 2024-03-06 23:02:34,209 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-wnr0nm8z/pythondata_cpu_cva6-4.2.0.post435-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:02:34,212 wheel INFO adding 'pythondata_cpu_cva6/__init__.py' 2024-03-06 23:02:34,216 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.editorconfig' 2024-03-06 23:02:34,217 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitignore' 2024-03-06 23:02:34,218 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitlab-ci.yml' 2024-03-06 23:02:34,220 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitmodules' 2024-03-06 23:02:34,221 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/Bender.yml' 2024-03-06 23:02:34,222 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/CHANGELOG.md' 2024-03-06 23:02:34,223 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/CODEOWNERS' 2024-03-06 23:02:34,224 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/CONTRIBUTING.md' 2024-03-06 23:02:34,225 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/Flist.ariane' 2024-03-06 23:02:34,227 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/LICENSE' 2024-03-06 23:02:34,228 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/LICENSE.Berkeley' 2024-03-06 23:02:34,229 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/LICENSE.SiFive' 2024-03-06 23:02:34,230 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/Makefile' 2024-03-06 23:02:34,231 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/README.md' 2024-03-06 23:02:34,233 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ariane.core' 2024-03-06 23:02:34,234 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/config_pkg_generator.py' 2024-03-06 23:02:34,235 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/init_testharness.do' 2024-03-06 23:02:34,236 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/src_files.yml' 2024-03-06 23:02:34,237 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/bug.yaml' 2024-03-06 23:02:34,238 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/task.yaml' 2024-03-06 23:02:34,239 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.github/workflows/ci.yml' 2024-03-06 23:02:34,240 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitlab-ci/core-v-verif-cva6.yml' 2024-03-06 23:02:34,241 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitlab-ci/cva6.yml' 2024-03-06 23:02:34,242 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/build-riscv-gcc.sh' 2024-03-06 23:02:34,243 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/build-riscv-tests.sh' 2024-03-06 23:02:34,244 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/check-tests.sh' 2024-03-06 23:02:34,245 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/default.config' 2024-03-06 23:02:34,246 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/float.config' 2024-03-06 23:02:34,247 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/get-torture.sh' 2024-03-06 23:02:34,248 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/gitlab-ci-emul.sh' 2024-03-06 23:02:34,249 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-dtc.sh' 2024-03-06 23:02:34,250 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-fesvr.sh' 2024-03-06 23:02:34,251 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-riscvpk.sh' 2024-03-06 23:02:34,252 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-spike.sh' 2024-03-06 23:02:34,252 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-verilator.sh' 2024-03-06 23:02:34,253 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/make-tmp.sh' 2024-03-06 23:02:34,254 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/path-setup.sh' 2024-03-06 23:02:34,255 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-amo-tests.list' 2024-03-06 23:02:34,256 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-asm-tests.list' 2024-03-06 23:02:34,257 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-benchmarks.list' 2024-03-06 23:02:34,262 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-fp-tests.list' 2024-03-06 23:02:34,263 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-mul-tests.list' 2024-03-06 23:02:34,264 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/setup.sh' 2024-03-06 23:02:34,265 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/torture_make.patch' 2024-03-06 23:02:34,266 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/travis-ci-emul.sh' 2024-03-06 23:02:34,268 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncDpRam.sv' 2024-03-06 23:02:34,269 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRam.sv' 2024-03-06 23:02:34,270 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx32.sv' 2024-03-06 23:02:34,271 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx64.sv' 2024-03-06 23:02:34,272 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncTpRam.sv' 2024-03-06 23:02:34,273 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/ex_trace_item.svh' 2024-03-06 23:02:34,274 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/find_first_one.sv' 2024-03-06 23:02:34,275 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/instr_trace_item.svh' 2024-03-06 23:02:34,277 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer.sv' 2024-03-06 23:02:34,278 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer_if.sv' 2024-03-06 23:02:34,279 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/sram.sv' 2024-03-06 23:02:34,280 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_fpga_wrapper.sv' 2024-03-06 23:02:34,281 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_wrapper.sv' 2024-03-06 23:02:34,282 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x' 2024-03-06 23:02:34,283 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x_gate' 2024-03-06 23:02:34,284 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv0' 2024-03-06 23:02:34,285 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv32' 2024-03-06 23:02:34,286 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imafc_sv32' 2024-03-06 23:02:34,287 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39' 2024-03-06 23:02:34,288 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39_gate' 2024-03-06 23:02:34,290 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/alu.sv' 2024-03-06 23:02:34,291 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/amo_buffer.sv' 2024-03-06 23:02:34,292 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/ariane.sv' 2024-03-06 23:02:34,293 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/ariane_regfile.sv' 2024-03-06 23:02:34,294 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/ariane_regfile_ff.sv' 2024-03-06 23:02:34,295 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/axi_adapter.sv' 2024-03-06 23:02:34,296 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/axi_shim.sv' 2024-03-06 23:02:34,297 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/branch_unit.sv' 2024-03-06 23:02:34,298 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/commit_stage.sv' 2024-03-06 23:02:34,299 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/compressed_decoder.sv' 2024-03-06 23:02:34,301 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/controller.sv' 2024-03-06 23:02:34,302 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/csr_buffer.sv' 2024-03-06 23:02:34,303 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/csr_regfile.sv' 2024-03-06 23:02:34,305 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cva6.sv' 2024-03-06 23:02:34,306 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cvxif_fu.sv' 2024-03-06 23:02:34,308 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/decoder.sv' 2024-03-06 23:02:34,310 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/dromajo_ram.sv' 2024-03-06 23:02:34,311 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/ex_stage.sv' 2024-03-06 23:02:34,312 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/fpu_wrap.sv' 2024-03-06 23:02:34,313 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/id_stage.sv' 2024-03-06 23:02:34,314 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/instr_realign.sv' 2024-03-06 23:02:34,316 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/issue_read_operands.sv' 2024-03-06 23:02:34,317 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/issue_stage.sv' 2024-03-06 23:02:34,318 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/load_store_unit.sv' 2024-03-06 23:02:34,319 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/load_unit.sv' 2024-03-06 23:02:34,320 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/lsu_bypass.sv' 2024-03-06 23:02:34,321 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mult.sv' 2024-03-06 23:02:34,322 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/multiplier.sv' 2024-03-06 23:02:34,323 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/perf_counters.sv' 2024-03-06 23:02:34,324 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/re_name.sv' 2024-03-06 23:02:34,326 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/scoreboard.sv' 2024-03-06 23:02:34,327 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/serdiv.sv' 2024-03-06 23:02:34,328 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/store_buffer.sv' 2024-03-06 23:02:34,329 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/store_unit.sv' 2024-03-06 23:02:34,330 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/amo_alu.sv' 2024-03-06 23:02:34,331 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cache_ctrl.sv' 2024-03-06 23:02:34,333 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache.sv' 2024-03-06 23:02:34,334 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache_axi_wrapper.sv' 2024-03-06 23:02:34,335 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/miss_handler.sv' 2024-03-06 23:02:34,337 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_cache_subsystem.sv' 2024-03-06 23:02:34,338 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_nbdcache.sv' 2024-03-06 23:02:34,339 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_no_dcache.sv' 2024-03-06 23:02:34,340 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/tag_cmp.sv' 2024-03-06 23:02:34,341 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_axi_adapter.sv' 2024-03-06 23:02:34,343 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_cache_subsystem.sv' 2024-03-06 23:02:34,344 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache.sv' 2024-03-06 23:02:34,345 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_ctrl.sv' 2024-03-06 23:02:34,346 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_mem.sv' 2024-03-06 23:02:34,348 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_missunit.sv' 2024-03-06 23:02:34,349 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_wbuffer.sv' 2024-03-06 23:02:34,350 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_l15_adapter.sv' 2024-03-06 23:02:34,352 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cvxif_example/cvxif_example_coprocessor.sv' 2024-03-06 23:02:34,353 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cvxif_example/instr_decoder.sv' 2024-03-06 23:02:34,354 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cvxif_example/include/cvxif_instr_pkg.sv' 2024-03-06 23:02:34,362 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results/Vcva6_core_only_tb' 2024-03-06 23:02:34,396 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/bht.sv' 2024-03-06 23:02:34,397 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/btb.sv' 2024-03-06 23:02:34,398 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/frontend.sv' 2024-03-06 23:02:34,400 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/instr_queue.sv' 2024-03-06 23:02:34,401 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/instr_scan.sv' 2024-03-06 23:02:34,402 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/ras.sv' 2024-03-06 23:02:34,403 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/ariane_axi_pkg.sv' 2024-03-06 23:02:34,404 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/ariane_pkg.sv' 2024-03-06 23:02:34,406 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/ariane_rvfi_pkg.sv' 2024-03-06 23:02:34,407 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/axi_intf.sv' 2024-03-06 23:02:34,408 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv32a60x_config_pkg.sv' 2024-03-06 23:02:34,409 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv0_config_pkg.sv' 2024-03-06 23:02:34,410 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv32_config_pkg.sv' 2024-03-06 23:02:34,411 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imafc_sv32_config_pkg.sv' 2024-03-06 23:02:34,412 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_config_pkg.sv' 2024-03-06 23:02:34,413 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_openpiton_config_pkg.sv' 2024-03-06 23:02:34,414 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cvxif_pkg.sv' 2024-03-06 23:02:34,415 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/instr_tracer_pkg.sv' 2024-03-06 23:02:34,416 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/riscv_pkg.sv' 2024-03-06 23:02:34,417 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/std_cache_pkg.sv' 2024-03-06 23:02:34,418 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/wt_cache_pkg.sv' 2024-03-06 23:02:34,420 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_mmu_sv32.sv' 2024-03-06 23:02:34,421 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_ptw_sv32.sv' 2024-03-06 23:02:34,422 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_tlb_sv32.sv' 2024-03-06 23:02:34,424 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv39/mmu.sv' 2024-03-06 23:02:34,425 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv39/ptw.sv' 2024-03-06 23:02:34,426 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv39/tlb.sv' 2024-03-06 23:02:34,427 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/.gitignore' 2024-03-06 23:02:34,428 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/Bender.yml' 2024-03-06 23:02:34,429 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/Makefile' 2024-03-06 23:02:34,430 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/README.md' 2024-03-06 23:02:34,431 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/formal.sby' 2024-03-06 23:02:34,432 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/include/riscv.sv' 2024-03-06 23:02:34,433 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp.sv' 2024-03-06 23:02:34,434 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp_entry.sv' 2024-03-06 23:02:34,436 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/tb/pmp_tb.sv' 2024-03-06 23:02:34,437 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/tb/tb_pkg.sv' 2024-03-06 23:02:34,438 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/.gitignore' 2024-03-06 23:02:34,439 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/Makefile' 2024-03-06 23:02:34,440 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/ariane.dts' 2024-03-06 23:02:34,441 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.S' 2024-03-06 23:02:34,442 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.h' 2024-03-06 23:02:34,443 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.sv' 2024-03-06 23:02:34,444 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/dromajo_bootrom.sv' 2024-03-06 23:02:34,445 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/encoding.h' 2024-03-06 23:02:34,446 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/gen_rom.py' 2024-03-06 23:02:34,447 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/linker.ld' 2024-03-06 23:02:34,449 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/clint/README.md' 2024-03-06 23:02:34,449 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/clint/axi_lite_interface.sv' 2024-03-06 23:02:34,451 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/clint/clint.sv' 2024-03-06 23:02:34,452 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/Makefile' 2024-03-06 23:02:34,453 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane-multi-hart.cfg' 2024-03-06 23:02:34,454 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane.cfg' 2024-03-06 23:02:34,455 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod.cfg' 2024-03-06 23:02:34,456 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod_tiny.cfg' 2024-03-06 23:02:34,457 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/sourceme.sh' 2024-03-06 23:02:34,458 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/ariane.xdc' 2024-03-06 23:02:34,459 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/genesys-2.xdc' 2024-03-06 23:02:34,460 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/kc705.xdc' 2024-03-06 23:02:34,461 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vc707.xdc' 2024-03-06 23:02:34,463 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vcu118.xdc' 2024-03-06 23:02:34,467 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/check_fpga_boot.sh' 2024-03-06 23:02:34,468 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/linux_boot.py' 2024-03-06 23:02:34,470 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program.tcl' 2024-03-06 23:02:34,471 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program_genesys2.tcl' 2024-03-06 23:02:34,472 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/prologue.tcl' 2024-03-06 23:02:34,473 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/run.tcl' 2024-03-06 23:02:34,474 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/write_cfgmem.tcl' 2024-03-06 23:02:34,475 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_peripherals_xilinx.sv' 2024-03-06 23:02:34,477 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_xilinx.sv' 2024-03-06 23:02:34,479 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/fan_ctrl.sv' 2024-03-06 23:02:34,479 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/genesysii.svh' 2024-03-06 23:02:34,480 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/kc705.svh' 2024-03-06 23:02:34,481 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vc707.svh' 2024-03-06 23:02:34,482 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vcu118.svh' 2024-03-06 23:02:34,483 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/.gitignore' 2024-03-06 23:02:34,484 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/Makefile' 2024-03-06 23:02:34,485 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/README.md' 2024-03-06 23:02:34,486 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.h' 2024-03-06 23:02:34,488 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.sv' 2024-03-06 23:02:34,489 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.h' 2024-03-06 23:02:34,491 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.sv' 2024-03-06 23:02:34,492 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv32a6.dts' 2024-03-06 23:02:34,493 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv64a6.dts' 2024-03-06 23:02:34,494 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/gen_rom.py' 2024-03-06 23:02:34,495 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/linker.lds' 2024-03-06 23:02:34,496 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/platform.h' 2024-03-06 23:02:34,497 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/startup.S' 2024-03-06 23:02:34,498 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.c' 2024-03-06 23:02:34,499 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.h' 2024-03-06 23:02:34,500 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/main.c' 2024-03-06 23:02:34,501 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.c' 2024-03-06 23:02:34,502 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.h' 2024-03-06 23:02:34,503 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/smp.h' 2024-03-06 23:02:34,504 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.c' 2024-03-06 23:02:34,505 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.h' 2024-03-06 23:02:34,506 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.c' 2024-03-06 23:02:34,507 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.h' 2024-03-06 23:02:34,508 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/.gitignore' 2024-03-06 23:02:34,509 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/ariane_xlnx_ip.yml' 2024-03-06 23:02:34,510 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/common.mk' 2024-03-06 23:02:34,511 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/Makefile' 2024-03-06 23:02:34,512 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl/run.tcl' 2024-03-06 23:02:34,513 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/Makefile' 2024-03-06 23:02:34,514 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl/run.tcl' 2024-03-06 23:02:34,516 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/Makefile' 2024-03-06 23:02:34,517 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl/run.tcl' 2024-03-06 23:02:34,518 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/Makefile' 2024-03-06 23:02:34,519 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl/run.tcl' 2024-03-06 23:02:34,520 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/Makefile' 2024-03-06 23:02:34,521 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl/run.tcl' 2024-03-06 23:02:34,522 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/Makefile' 2024-03-06 23:02:34,523 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl/run.tcl' 2024-03-06 23:02:34,524 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/Makefile' 2024-03-06 23:02:34,525 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl/run.tcl' 2024-03-06 23:02:34,526 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/Makefile' 2024-03-06 23:02:34,527 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl/run.tcl' 2024-03-06 23:02:34,529 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/Makefile' 2024-03-06 23:02:34,530 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_genesys2.prj' 2024-03-06 23:02:34,531 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_kc705.prj' 2024-03-06 23:02:34,532 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_vc707.prj' 2024-03-06 23:02:34,533 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl/run.tcl' 2024-03-06 23:02:34,535 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/Makefile' 2024-03-06 23:02:34,536 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl/run.tcl' 2024-03-06 23:02:34,537 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/include/traced_instr_pkg.sv' 2024-03-06 23:02:34,538 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/ariane_verilog_wrap.sv' 2024-03-06 23:02:34,539 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/riscv_peripherals.sv' 2024-03-06 23:02:34,541 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/.gitignore' 2024-03-06 23:02:34,542 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/.gitignore' 2024-03-06 23:02:34,543 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/Makefile' 2024-03-06 23:02:34,543 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/bootrom.S' 2024-03-06 23:02:34,544 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/gen_rom.py' 2024-03-06 23:02:34,545 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/linker.ld' 2024-03-06 23:02:34,547 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/.gitignore' 2024-03-06 23:02:34,547 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/Makefile' 2024-03-06 23:02:34,548 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/README.md' 2024-03-06 23:02:34,549 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/gen_rom.py' 2024-03-06 23:02:34,550 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/linker.lds' 2024-03-06 23:02:34,551 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/platform.h' 2024-03-06 23:02:34,552 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/startup.S' 2024-03-06 23:02:34,553 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.c' 2024-03-06 23:02:34,554 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.h' 2024-03-06 23:02:34,555 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/main.c' 2024-03-06 23:02:34,556 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.c' 2024-03-06 23:02:34,557 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.h' 2024-03-06 23:02:34,558 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/smp.h' 2024-03-06 23:02:34,559 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.c' 2024-03-06 23:02:34,560 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.h' 2024-03-06 23:02:34,561 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.c' 2024-03-06 23:02:34,562 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.h' 2024-03-06 23:02:34,563 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_axi_soc_pkg.sv' 2024-03-06 23:02:34,564 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_peripherals.sv' 2024-03-06 23:02:34,566 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_soc_pkg.sv' 2024-03-06 23:02:34,567 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.cpp' 2024-03-06 23:02:34,568 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.sv' 2024-03-06 23:02:34,569 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_testharness.sv' 2024-03-06 23:02:34,570 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_pkg.sv' 2024-03-06 23:02:34,571 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_tracer.sv' 2024-03-06 23:02:34,573 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimDTM.sv' 2024-03-06 23:02:34,574 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimJTAG.sv' 2024-03-06 23:02:34,575 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/assign.svh' 2024-03-06 23:02:34,576 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/core_mem.sv' 2024-03-06 23:02:34,577 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/dp_ram.sv' 2024-03-06 23:02:34,578 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/mock_uart.sv' 2024-03-06 23:02:34,579 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/spike.sv' 2024-03-06 23:02:34,580 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/string_buffer.svh' 2024-03-06 23:02:34,581 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb.svh' 2024-03-06 23:02:34,582 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_amoport.sv' 2024-03-06 23:02:34,583 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_dcache_pkg.sv' 2024-03-06 23:02:34,585 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_readport.sv' 2024-03-06 23:02:34,586 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_writeport.sv' 2024-03-06 23:02:34,587 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/uart.sv' 2024-03-06 23:02:34,588 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimDTM.cc' 2024-03-06 23:02:34,589 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimJTAG.cc' 2024-03-06 23:02:34,590 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/bootrom.h' 2024-03-06 23:02:34,591 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/dromajo_cosim_dpi.cc' 2024-03-06 23:02:34,592 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/elfloader.cc' 2024-03-06 23:02:34,593 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.cc' 2024-03-06 23:02:34,594 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.h' 2024-03-06 23:02:34,595 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.cc' 2024-03-06 23:02:34,596 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.h' 2024-03-06 23:02:34,597 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.cc' 2024-03-06 23:02:34,598 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.h' 2024-03-06 23:02:34,599 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/spike.cc' 2024-03-06 23:02:34,600 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/verilator.h' 2024-03-06 23:02:34,601 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/.gitignore' 2024-03-06 23:02:34,602 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/LICENSE' 2024-03-06 23:02:34,603 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/Makefile.in' 2024-03-06 23:02:34,604 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/README.md' 2024-03-06 23:02:34,605 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/aclocal.m4' 2024-03-06 23:02:34,606 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/config.h.in' 2024-03-06 23:02:34,608 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure' 2024-03-06 23:02:34,611 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure.ac' 2024-03-06 23:02:34,612 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-dummy_rocc.pc.in' 2024-03-06 23:02:34,613 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-riscv.pc.in' 2024-03-06 23:02:34,614 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-softfloat.pc.in' 2024-03-06 23:02:34,615 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike.pc.in' 2024-03-06 23:02:34,616 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike_main.pc.in' 2024-03-06 23:02:34,617 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/.gitignore' 2024-03-06 23:02:34,618 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/Makefile' 2024-03-06 23:02:34,619 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.S' 2024-03-06 23:02:34,620 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.h' 2024-03-06 23:02:34,621 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/link.ld' 2024-03-06 23:02:34,622 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.ac' 2024-03-06 23:02:34,623 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.cc' 2024-03-06 23:02:34,624 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.mk.in' 2024-03-06 23:02:34,625 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc_test.c' 2024-03-06 23:02:34,626 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/bootrom.h' 2024-03-06 23:02:34,627 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.cc' 2024-03-06 23:02:34,628 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.h' 2024-03-06 23:02:34,629 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/clint.cc' 2024-03-06 23:02:34,630 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/common.h' 2024-03-06 23:02:34,632 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_defines.h' 2024-03-06 23:02:34,633 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.cc' 2024-03-06 23:02:34,635 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.h' 2024-03-06 23:02:34,636 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_rom_defines.h' 2024-03-06 23:02:34,637 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/decode.h' 2024-03-06 23:02:34,638 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.cc' 2024-03-06 23:02:34,639 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.h' 2024-03-06 23:02:34,640 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/disasm.h' 2024-03-06 23:02:34,641 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.cc' 2024-03-06 23:02:34,642 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.h' 2024-03-06 23:02:34,643 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dump.cc' 2024-03-06 23:02:34,644 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/encoding.h' 2024-03-06 23:02:34,646 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/execute.cc' 2024-03-06 23:02:34,647 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.cc' 2024-03-06 23:02:34,648 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.h' 2024-03-06 23:02:34,649 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extensions.cc' 2024-03-06 23:02:34,650 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/gen_icache' 2024-03-06 23:02:34,651 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.cc' 2024-03-06 23:02:34,652 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.h' 2024-03-06 23:02:34,653 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/interactive.cc' 2024-03-06 23:02:34,654 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.cc' 2024-03-06 23:02:34,655 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.h' 2024-03-06 23:02:34,656 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/memtracer.h' 2024-03-06 23:02:34,657 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.cc' 2024-03-06 23:02:34,658 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.h' 2024-03-06 23:02:34,659 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mulhi.h' 2024-03-06 23:02:34,660 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/opcodes.h' 2024-03-06 23:02:34,661 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.cc' 2024-03-06 23:02:34,662 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.h' 2024-03-06 23:02:34,664 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/regnames.cc' 2024-03-06 23:02:34,664 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.cc' 2024-03-06 23:02:34,666 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.h' 2024-03-06 23:02:34,666 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.ac' 2024-03-06 23:02:34,667 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.mk.in' 2024-03-06 23:02:34,668 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.cc' 2024-03-06 23:02:34,669 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.h' 2024-03-06 23:02:34,670 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rom.cc' 2024-03-06 23:02:34,671 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.cc' 2024-03-06 23:02:34,672 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.h' 2024-03-06 23:02:34,673 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/simif.h' 2024-03-06 23:02:34,674 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/tracer.h' 2024-03-06 23:02:34,675 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.cc' 2024-03-06 23:02:34,676 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.h' 2024-03-06 23:02:34,677 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/uart.cc' 2024-03-06 23:02:34,680 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/add.h' 2024-03-06 23:02:34,681 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addi.h' 2024-03-06 23:02:34,682 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addiw.h' 2024-03-06 23:02:34,683 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addw.h' 2024-03-06 23:02:34,684 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_d.h' 2024-03-06 23:02:34,685 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_w.h' 2024-03-06 23:02:34,685 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_d.h' 2024-03-06 23:02:34,686 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_w.h' 2024-03-06 23:02:34,687 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_d.h' 2024-03-06 23:02:34,688 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_w.h' 2024-03-06 23:02:34,689 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_d.h' 2024-03-06 23:02:34,690 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_w.h' 2024-03-06 23:02:34,691 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_d.h' 2024-03-06 23:02:34,692 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_w.h' 2024-03-06 23:02:34,693 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_d.h' 2024-03-06 23:02:34,694 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_w.h' 2024-03-06 23:02:34,695 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_d.h' 2024-03-06 23:02:34,696 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_w.h' 2024-03-06 23:02:34,697 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_d.h' 2024-03-06 23:02:34,698 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_w.h' 2024-03-06 23:02:34,699 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_d.h' 2024-03-06 23:02:34,700 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_w.h' 2024-03-06 23:02:34,701 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/and.h' 2024-03-06 23:02:34,702 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/andi.h' 2024-03-06 23:02:34,703 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/auipc.h' 2024-03-06 23:02:34,704 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/beq.h' 2024-03-06 23:02:34,704 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bge.h' 2024-03-06 23:02:34,705 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bgeu.h' 2024-03-06 23:02:34,706 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/blt.h' 2024-03-06 23:02:34,707 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bltu.h' 2024-03-06 23:02:34,708 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bne.h' 2024-03-06 23:02:34,709 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_add.h' 2024-03-06 23:02:34,710 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi.h' 2024-03-06 23:02:34,711 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi4spn.h' 2024-03-06 23:02:34,712 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addw.h' 2024-03-06 23:02:34,713 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_and.h' 2024-03-06 23:02:34,714 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_andi.h' 2024-03-06 23:02:34,715 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_beqz.h' 2024-03-06 23:02:34,716 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_bnez.h' 2024-03-06 23:02:34,717 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_ebreak.h' 2024-03-06 23:02:34,718 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fld.h' 2024-03-06 23:02:34,719 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fldsp.h' 2024-03-06 23:02:34,719 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flw.h' 2024-03-06 23:02:34,720 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flwsp.h' 2024-03-06 23:02:34,721 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsd.h' 2024-03-06 23:02:34,722 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsdsp.h' 2024-03-06 23:02:34,723 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsw.h' 2024-03-06 23:02:34,724 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fswsp.h' 2024-03-06 23:02:34,725 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_j.h' 2024-03-06 23:02:34,726 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jal.h' 2024-03-06 23:02:34,727 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jalr.h' 2024-03-06 23:02:34,728 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jr.h' 2024-03-06 23:02:34,729 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_li.h' 2024-03-06 23:02:34,730 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lui.h' 2024-03-06 23:02:34,731 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lw.h' 2024-03-06 23:02:34,732 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lwsp.h' 2024-03-06 23:02:34,733 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_mv.h' 2024-03-06 23:02:34,734 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_or.h' 2024-03-06 23:02:34,735 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_slli.h' 2024-03-06 23:02:34,736 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srai.h' 2024-03-06 23:02:34,737 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srli.h' 2024-03-06 23:02:34,737 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sub.h' 2024-03-06 23:02:34,738 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_subw.h' 2024-03-06 23:02:34,739 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sw.h' 2024-03-06 23:02:34,740 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_swsp.h' 2024-03-06 23:02:34,741 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_xor.h' 2024-03-06 23:02:34,742 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrc.h' 2024-03-06 23:02:34,743 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrci.h' 2024-03-06 23:02:34,744 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrs.h' 2024-03-06 23:02:34,745 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrsi.h' 2024-03-06 23:02:34,746 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrw.h' 2024-03-06 23:02:34,747 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrwi.h' 2024-03-06 23:02:34,748 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/div.h' 2024-03-06 23:02:34,749 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divu.h' 2024-03-06 23:02:34,750 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divuw.h' 2024-03-06 23:02:34,751 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divw.h' 2024-03-06 23:02:34,752 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/dret.h' 2024-03-06 23:02:34,753 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ebreak.h' 2024-03-06 23:02:34,754 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ecall.h' 2024-03-06 23:02:34,755 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_d.h' 2024-03-06 23:02:34,756 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_q.h' 2024-03-06 23:02:34,756 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_s.h' 2024-03-06 23:02:34,757 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_d.h' 2024-03-06 23:02:34,758 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_q.h' 2024-03-06 23:02:34,759 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_s.h' 2024-03-06 23:02:34,760 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_l.h' 2024-03-06 23:02:34,761 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_lu.h' 2024-03-06 23:02:34,762 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_q.h' 2024-03-06 23:02:34,763 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_s.h' 2024-03-06 23:02:34,764 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_w.h' 2024-03-06 23:02:34,765 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_wu.h' 2024-03-06 23:02:34,766 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_d.h' 2024-03-06 23:02:34,767 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_q.h' 2024-03-06 23:02:34,768 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_s.h' 2024-03-06 23:02:34,769 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_d.h' 2024-03-06 23:02:34,770 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_q.h' 2024-03-06 23:02:34,771 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_s.h' 2024-03-06 23:02:34,772 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_d.h' 2024-03-06 23:02:34,773 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_l.h' 2024-03-06 23:02:34,774 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_lu.h' 2024-03-06 23:02:34,775 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_s.h' 2024-03-06 23:02:34,775 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_w.h' 2024-03-06 23:02:34,776 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_wu.h' 2024-03-06 23:02:34,777 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_d.h' 2024-03-06 23:02:34,778 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_l.h' 2024-03-06 23:02:34,779 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_lu.h' 2024-03-06 23:02:34,780 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_q.h' 2024-03-06 23:02:34,781 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_w.h' 2024-03-06 23:02:34,782 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_wu.h' 2024-03-06 23:02:34,783 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_d.h' 2024-03-06 23:02:34,784 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_q.h' 2024-03-06 23:02:34,785 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_s.h' 2024-03-06 23:02:34,786 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_d.h' 2024-03-06 23:02:34,787 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_q.h' 2024-03-06 23:02:34,788 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_s.h' 2024-03-06 23:02:34,789 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_d.h' 2024-03-06 23:02:34,790 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_q.h' 2024-03-06 23:02:34,791 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_s.h' 2024-03-06 23:02:34,792 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence.h' 2024-03-06 23:02:34,793 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence_i.h' 2024-03-06 23:02:34,793 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_d.h' 2024-03-06 23:02:34,794 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_q.h' 2024-03-06 23:02:34,795 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_s.h' 2024-03-06 23:02:34,796 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fld.h' 2024-03-06 23:02:34,797 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_d.h' 2024-03-06 23:02:34,798 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_q.h' 2024-03-06 23:02:34,799 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_s.h' 2024-03-06 23:02:34,800 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flq.h' 2024-03-06 23:02:34,801 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_d.h' 2024-03-06 23:02:34,802 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_q.h' 2024-03-06 23:02:34,803 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_s.h' 2024-03-06 23:02:34,804 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flw.h' 2024-03-06 23:02:34,805 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_d.h' 2024-03-06 23:02:34,806 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_q.h' 2024-03-06 23:02:34,807 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_s.h' 2024-03-06 23:02:34,808 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_d.h' 2024-03-06 23:02:34,809 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_q.h' 2024-03-06 23:02:34,810 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_s.h' 2024-03-06 23:02:34,811 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_d.h' 2024-03-06 23:02:34,812 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_q.h' 2024-03-06 23:02:34,813 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_s.h' 2024-03-06 23:02:34,813 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_d.h' 2024-03-06 23:02:34,814 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_q.h' 2024-03-06 23:02:34,815 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_s.h' 2024-03-06 23:02:34,816 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_d.h' 2024-03-06 23:02:34,817 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_q.h' 2024-03-06 23:02:34,818 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_s.h' 2024-03-06 23:02:34,819 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_d_x.h' 2024-03-06 23:02:34,820 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_w_x.h' 2024-03-06 23:02:34,822 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_d.h' 2024-03-06 23:02:34,823 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_w.h' 2024-03-06 23:02:34,824 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_d.h' 2024-03-06 23:02:34,825 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_q.h' 2024-03-06 23:02:34,826 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_s.h' 2024-03-06 23:02:34,827 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_d.h' 2024-03-06 23:02:34,827 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_q.h' 2024-03-06 23:02:34,828 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_s.h' 2024-03-06 23:02:34,829 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsd.h' 2024-03-06 23:02:34,830 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_d.h' 2024-03-06 23:02:34,831 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_q.h' 2024-03-06 23:02:34,832 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_s.h' 2024-03-06 23:02:34,833 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_d.h' 2024-03-06 23:02:34,834 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_q.h' 2024-03-06 23:02:34,835 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_s.h' 2024-03-06 23:02:34,836 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_d.h' 2024-03-06 23:02:34,837 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_q.h' 2024-03-06 23:02:34,838 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_s.h' 2024-03-06 23:02:34,839 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsq.h' 2024-03-06 23:02:34,840 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_d.h' 2024-03-06 23:02:34,841 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_q.h' 2024-03-06 23:02:34,842 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_s.h' 2024-03-06 23:02:34,843 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_d.h' 2024-03-06 23:02:34,844 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_q.h' 2024-03-06 23:02:34,845 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_s.h' 2024-03-06 23:02:34,846 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsw.h' 2024-03-06 23:02:34,846 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jal.h' 2024-03-06 23:02:34,847 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jalr.h' 2024-03-06 23:02:34,848 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lb.h' 2024-03-06 23:02:34,849 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lbu.h' 2024-03-06 23:02:34,850 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ld.h' 2024-03-06 23:02:34,851 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lh.h' 2024-03-06 23:02:34,852 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lhu.h' 2024-03-06 23:02:34,853 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_d.h' 2024-03-06 23:02:34,854 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_w.h' 2024-03-06 23:02:34,855 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lui.h' 2024-03-06 23:02:34,856 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lw.h' 2024-03-06 23:02:34,857 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lwu.h' 2024-03-06 23:02:34,858 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mret.h' 2024-03-06 23:02:34,859 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mul.h' 2024-03-06 23:02:34,859 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulh.h' 2024-03-06 23:02:34,860 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhsu.h' 2024-03-06 23:02:34,861 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhu.h' 2024-03-06 23:02:34,862 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulw.h' 2024-03-06 23:02:34,863 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/or.h' 2024-03-06 23:02:34,864 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ori.h' 2024-03-06 23:02:34,865 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/rem.h' 2024-03-06 23:02:34,866 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remu.h' 2024-03-06 23:02:34,867 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remuw.h' 2024-03-06 23:02:34,868 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remw.h' 2024-03-06 23:02:34,869 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sb.h' 2024-03-06 23:02:34,870 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_d.h' 2024-03-06 23:02:34,871 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_w.h' 2024-03-06 23:02:34,872 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sd.h' 2024-03-06 23:02:34,873 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sfence_vma.h' 2024-03-06 23:02:34,874 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sh.h' 2024-03-06 23:02:34,875 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sll.h' 2024-03-06 23:02:34,876 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slli.h' 2024-03-06 23:02:34,877 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slliw.h' 2024-03-06 23:02:34,877 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sllw.h' 2024-03-06 23:02:34,878 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slt.h' 2024-03-06 23:02:34,879 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slti.h' 2024-03-06 23:02:34,880 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltiu.h' 2024-03-06 23:02:34,881 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltu.h' 2024-03-06 23:02:34,882 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sra.h' 2024-03-06 23:02:34,883 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srai.h' 2024-03-06 23:02:34,884 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraiw.h' 2024-03-06 23:02:34,885 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraw.h' 2024-03-06 23:02:34,886 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sret.h' 2024-03-06 23:02:34,887 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srl.h' 2024-03-06 23:02:34,888 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srli.h' 2024-03-06 23:02:34,889 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srliw.h' 2024-03-06 23:02:34,890 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srlw.h' 2024-03-06 23:02:34,891 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sub.h' 2024-03-06 23:02:34,892 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/subw.h' 2024-03-06 23:02:34,893 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sw.h' 2024-03-06 23:02:34,894 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/wfi.h' 2024-03-06 23:02:34,895 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xor.h' 2024-03-06 23:02:34,896 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xori.h' 2024-03-06 23:02:34,897 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.guess' 2024-03-06 23:02:34,899 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.sub' 2024-03-06 23:02:34,900 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/install.sh' 2024-03-06 23:02:34,901 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/mk-install-dirs.sh' 2024-03-06 23:02:34,902 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/vcs-version.sh' 2024-03-06 23:02:34,905 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_add.c' 2024-03-06 23:02:34,906 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_classify.c' 2024-03-06 23:02:34,907 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_div.c' 2024-03-06 23:02:34,908 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq.c' 2024-03-06 23:02:34,909 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq_signaling.c' 2024-03-06 23:02:34,910 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_isSignalingNaN.c' 2024-03-06 23:02:34,911 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le.c' 2024-03-06 23:02:34,912 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le_quiet.c' 2024-03-06 23:02:34,913 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt.c' 2024-03-06 23:02:34,914 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt_quiet.c' 2024-03-06 23:02:34,915 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mul.c' 2024-03-06 23:02:34,916 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mulAdd.c' 2024-03-06 23:02:34,917 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_rem.c' 2024-03-06 23:02:34,918 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_roundToInt.c' 2024-03-06 23:02:34,919 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sqrt.c' 2024-03-06 23:02:34,920 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sub.c' 2024-03-06 23:02:34,921 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f16.c' 2024-03-06 23:02:34,922 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f32.c' 2024-03-06 23:02:34,923 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f64.c' 2024-03-06 23:02:34,924 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32.c' 2024-03-06 23:02:34,925 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32_r_minMag.c' 2024-03-06 23:02:34,926 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64.c' 2024-03-06 23:02:34,927 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64_r_minMag.c' 2024-03-06 23:02:34,928 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32.c' 2024-03-06 23:02:34,929 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32_r_minMag.c' 2024-03-06 23:02:34,930 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64.c' 2024-03-06 23:02:34,931 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64_r_minMag.c' 2024-03-06 23:02:34,932 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_add.c' 2024-03-06 23:02:34,934 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_div.c' 2024-03-06 23:02:34,935 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq.c' 2024-03-06 23:02:34,936 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq_signaling.c' 2024-03-06 23:02:34,937 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_isSignalingNaN.c' 2024-03-06 23:02:34,938 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le.c' 2024-03-06 23:02:34,939 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le_quiet.c' 2024-03-06 23:02:34,940 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt.c' 2024-03-06 23:02:34,941 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt_quiet.c' 2024-03-06 23:02:34,942 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mul.c' 2024-03-06 23:02:34,943 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mulAdd.c' 2024-03-06 23:02:34,944 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_rem.c' 2024-03-06 23:02:34,945 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_roundToInt.c' 2024-03-06 23:02:34,946 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sqrt.c' 2024-03-06 23:02:34,947 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sub.c' 2024-03-06 23:02:34,948 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f128.c' 2024-03-06 23:02:34,949 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f32.c' 2024-03-06 23:02:34,950 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f64.c' 2024-03-06 23:02:34,951 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32.c' 2024-03-06 23:02:34,952 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32_r_minMag.c' 2024-03-06 23:02:34,953 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64.c' 2024-03-06 23:02:34,954 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64_r_minMag.c' 2024-03-06 23:02:34,955 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32.c' 2024-03-06 23:02:34,956 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32_r_minMag.c' 2024-03-06 23:02:34,957 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64.c' 2024-03-06 23:02:34,958 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64_r_minMag.c' 2024-03-06 23:02:34,959 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_add.c' 2024-03-06 23:02:34,960 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_classify.c' 2024-03-06 23:02:34,961 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_div.c' 2024-03-06 23:02:34,962 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq.c' 2024-03-06 23:02:34,963 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq_signaling.c' 2024-03-06 23:02:34,964 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_isSignalingNaN.c' 2024-03-06 23:02:34,965 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le.c' 2024-03-06 23:02:34,966 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le_quiet.c' 2024-03-06 23:02:34,967 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt.c' 2024-03-06 23:02:34,968 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt_quiet.c' 2024-03-06 23:02:34,969 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mul.c' 2024-03-06 23:02:34,970 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mulAdd.c' 2024-03-06 23:02:34,971 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_rem.c' 2024-03-06 23:02:34,972 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_roundToInt.c' 2024-03-06 23:02:34,973 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sqrt.c' 2024-03-06 23:02:34,974 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sub.c' 2024-03-06 23:02:34,975 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f128.c' 2024-03-06 23:02:34,976 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f16.c' 2024-03-06 23:02:34,977 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f64.c' 2024-03-06 23:02:34,978 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32.c' 2024-03-06 23:02:34,979 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32_r_minMag.c' 2024-03-06 23:02:34,980 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64.c' 2024-03-06 23:02:34,981 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64_r_minMag.c' 2024-03-06 23:02:34,982 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32.c' 2024-03-06 23:02:34,983 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32_r_minMag.c' 2024-03-06 23:02:34,984 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64.c' 2024-03-06 23:02:34,985 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64_r_minMag.c' 2024-03-06 23:02:34,986 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_add.c' 2024-03-06 23:02:34,987 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_classify.c' 2024-03-06 23:02:34,988 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_div.c' 2024-03-06 23:02:34,989 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq.c' 2024-03-06 23:02:34,990 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq_signaling.c' 2024-03-06 23:02:34,991 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_isSignalingNaN.c' 2024-03-06 23:02:34,992 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le.c' 2024-03-06 23:02:34,993 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le_quiet.c' 2024-03-06 23:02:34,994 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt.c' 2024-03-06 23:02:34,995 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt_quiet.c' 2024-03-06 23:02:34,996 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mul.c' 2024-03-06 23:02:34,997 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mulAdd.c' 2024-03-06 23:02:34,998 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_rem.c' 2024-03-06 23:02:34,999 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_roundToInt.c' 2024-03-06 23:02:35,000 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sqrt.c' 2024-03-06 23:02:35,001 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sub.c' 2024-03-06 23:02:35,002 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f128.c' 2024-03-06 23:02:35,003 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f16.c' 2024-03-06 23:02:35,004 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f32.c' 2024-03-06 23:02:35,005 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32.c' 2024-03-06 23:02:35,006 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32_r_minMag.c' 2024-03-06 23:02:35,007 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64.c' 2024-03-06 23:02:35,008 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64_r_minMag.c' 2024-03-06 23:02:35,009 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32.c' 2024-03-06 23:02:35,010 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32_r_minMag.c' 2024-03-06 23:02:35,011 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64.c' 2024-03-06 23:02:35,012 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64_r_minMag.c' 2024-03-06 23:02:35,013 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f128.c' 2024-03-06 23:02:35,014 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f16.c' 2024-03-06 23:02:35,015 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f32.c' 2024-03-06 23:02:35,016 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f64.c' 2024-03-06 23:02:35,017 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f128.c' 2024-03-06 23:02:35,018 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f16.c' 2024-03-06 23:02:35,019 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f32.c' 2024-03-06 23:02:35,020 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f64.c' 2024-03-06 23:02:35,021 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/internals.h' 2024-03-06 23:02:35,022 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/platform.h' 2024-03-06 23:02:35,023 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitiveTypes.h' 2024-03-06 23:02:35,024 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitives.h' 2024-03-06 23:02:35,026 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add128.c' 2024-03-06 23:02:35,027 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add256M.c' 2024-03-06 23:02:35,028 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addCarryM.c' 2024-03-06 23:02:35,029 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addComplCarryM.c' 2024-03-06 23:02:35,030 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addM.c' 2024-03-06 23:02:35,031 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF128.c' 2024-03-06 23:02:35,032 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF16.c' 2024-03-06 23:02:35,033 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF32.c' 2024-03-06 23:02:35,034 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF64.c' 2024-03-06 23:02:35,035 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip32_1.c' 2024-03-06 23:02:35,036 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt32_1.c' 2024-03-06 23:02:35,037 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt_1Ks.c' 2024-03-06 23:02:35,038 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip_1Ks.c' 2024-03-06 23:02:35,039 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF128UI.c' 2024-03-06 23:02:35,040 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF16UI.c' 2024-03-06 23:02:35,041 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF32UI.c' 2024-03-06 23:02:35,042 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF64UI.c' 2024-03-06 23:02:35,043 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare128M.c' 2024-03-06 23:02:35,044 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare96M.c' 2024-03-06 23:02:35,045 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros16.c' 2024-03-06 23:02:35,046 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros32.c' 2024-03-06 23:02:35,047 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros64.c' 2024-03-06 23:02:35,048 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros8.c' 2024-03-06 23:02:35,049 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_eq128.c' 2024-03-06 23:02:35,050 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f128UIToCommonNaN.c' 2024-03-06 23:02:35,051 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f16UIToCommonNaN.c' 2024-03-06 23:02:35,052 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f32UIToCommonNaN.c' 2024-03-06 23:02:35,053 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f64UIToCommonNaN.c' 2024-03-06 23:02:35,053 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_le128.c' 2024-03-06 23:02:35,054 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_lt128.c' 2024-03-06 23:02:35,055 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128By32.c' 2024-03-06 23:02:35,056 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128MTo256M.c' 2024-03-06 23:02:35,057 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128To256M.c' 2024-03-06 23:02:35,058 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64ByShifted32To128.c' 2024-03-06 23:02:35,059 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128.c' 2024-03-06 23:02:35,060 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128M.c' 2024-03-06 23:02:35,061 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF128.c' 2024-03-06 23:02:35,063 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF16.c' 2024-03-06 23:02:35,064 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF32.c' 2024-03-06 23:02:35,065 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF64.c' 2024-03-06 23:02:35,066 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_negXM.c' 2024-03-06 23:02:35,067 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF128.c' 2024-03-06 23:02:35,068 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF16.c' 2024-03-06 23:02:35,069 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF32.c' 2024-03-06 23:02:35,070 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF64.c' 2024-03-06 23:02:35,071 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF128Sig.c' 2024-03-06 23:02:35,072 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF16Sig.c' 2024-03-06 23:02:35,073 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF32Sig.c' 2024-03-06 23:02:35,074 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF64Sig.c' 2024-03-06 23:02:35,075 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF128UI.c' 2024-03-06 23:02:35,076 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF16UI.c' 2024-03-06 23:02:35,077 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF32UI.c' 2024-03-06 23:02:35,078 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF64UI.c' 2024-03-06 23:02:35,079 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_remStepMBy32.c' 2024-03-06 23:02:35,080 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToI64.c' 2024-03-06 23:02:35,081 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToUI64.c' 2024-03-06 23:02:35,082 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToI64.c' 2024-03-06 23:02:35,083 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToUI64.c' 2024-03-06 23:02:35,084 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF128.c' 2024-03-06 23:02:35,085 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF16.c' 2024-03-06 23:02:35,086 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF32.c' 2024-03-06 23:02:35,087 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF64.c' 2024-03-06 23:02:35,088 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI32.c' 2024-03-06 23:02:35,089 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI64.c' 2024-03-06 23:02:35,090 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI32.c' 2024-03-06 23:02:35,091 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI64.c' 2024-03-06 23:02:35,092 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI32.c' 2024-03-06 23:02:35,093 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI64.c' 2024-03-06 23:02:35,094 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI32.c' 2024-03-06 23:02:35,095 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI64.c' 2024-03-06 23:02:35,097 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128.c' 2024-03-06 23:02:35,098 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128Extra.c' 2024-03-06 23:02:35,099 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam256M.c' 2024-03-06 23:02:35,100 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam32.c' 2024-03-06 23:02:35,101 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64.c' 2024-03-06 23:02:35,102 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64Extra.c' 2024-03-06 23:02:35,103 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft128.c' 2024-03-06 23:02:35,103 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft64To96M.c' 2024-03-06 23:02:35,104 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRight128.c' 2024-03-06 23:02:35,105 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightExtendM.c' 2024-03-06 23:02:35,106 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128.c' 2024-03-06 23:02:35,107 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128Extra.c' 2024-03-06 23:02:35,108 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64.c' 2024-03-06 23:02:35,109 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64Extra.c' 2024-03-06 23:02:35,110 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightM.c' 2024-03-06 23:02:35,111 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub128.c' 2024-03-06 23:02:35,112 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub1XM.c' 2024-03-06 23:02:35,113 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub256M.c' 2024-03-06 23:02:35,114 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subM.c' 2024-03-06 23:02:35,115 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF128.c' 2024-03-06 23:02:35,116 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF16.c' 2024-03-06 23:02:35,117 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF32.c' 2024-03-06 23:02:35,118 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF64.c' 2024-03-06 23:02:35,119 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.ac' 2024-03-06 23:02:35,120 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.h' 2024-03-06 23:02:35,122 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.mk.in' 2024-03-06 23:02:35,123 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_raiseFlags.c' 2024-03-06 23:02:35,124 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_state.c' 2024-03-06 23:02:35,125 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_types.h' 2024-03-06 23:02:35,126 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/specialize.h' 2024-03-06 23:02:35,127 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f128.c' 2024-03-06 23:02:35,128 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f16.c' 2024-03-06 23:02:35,129 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f32.c' 2024-03-06 23:02:35,130 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f64.c' 2024-03-06 23:02:35,131 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f128.c' 2024-03-06 23:02:35,132 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f16.c' 2024-03-06 23:02:35,133 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f32.c' 2024-03-06 23:02:35,134 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f64.c' 2024-03-06 23:02:35,135 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/disasm.cc' 2024-03-06 23:02:35,136 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike-dasm.cc' 2024-03-06 23:02:35,137 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike.cc' 2024-03-06 23:02:35,138 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.ac' 2024-03-06 23:02:35,139 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.mk.in' 2024-03-06 23:02:35,140 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/termios-xspike.cc' 2024-03-06 23:02:35,141 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/xspike.cc' 2024-03-06 23:02:35,142 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.py' 2024-03-06 23:02:35,143 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.s' 2024-03-06 23:02:35,144 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/testlib.py' 2024-03-06 23:02:35,145 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/.gitignore' 2024-03-06 23:02:35,146 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/Makefile' 2024-03-06 23:02:35,147 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/tb.list' 2024-03-06 23:02:35,148 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/wave.do' 2024-03-06 23:02:35,149 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/mem_emul.sv' 2024-03-06 23:02:35,150 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb.sv' 2024-03-06 23:02:35,152 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb_pkg.sv' 2024-03-06 23:02:35,153 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tlb_emul.sv' 2024-03-06 23:02:35,154 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/.gitignore' 2024-03-06 23:02:35,155 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/Makefile' 2024-03-06 23:02:35,156 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/tb.list' 2024-03-06 23:02:35,157 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb.sv' 2024-03-06 23:02:35,158 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_div.sv' 2024-03-06 23:02:35,159 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_pkg.sv' 2024-03-06 23:02:35,160 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_rem.sv' 2024-03-06 23:02:35,161 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_udiv.sv' 2024-03-06 23:02:35,162 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_urem.sv' 2024-03-06 23:02:35,163 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/.gitignore' 2024-03-06 23:02:35,164 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/Makefile' 2024-03-06 23:02:35,165 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/tb.list' 2024-03-06 23:02:35,166 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/wave.do' 2024-03-06 23:02:35,167 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl/tb.sv' 2024-03-06 23:02:35,169 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/.gitignore' 2024-03-06 23:02:35,170 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/Makefile' 2024-03-06 23:02:35,171 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/tb.list' 2024-03-06 23:02:35,172 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/wave.do' 2024-03-06 23:02:35,174 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl/tb.sv' 2024-03-06 23:02:35,176 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/.gitignore' 2024-03-06 23:02:35,177 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/Makefile' 2024-03-06 23:02:35,178 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/tb.list' 2024-03-06 23:02:35,179 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/wave.do' 2024-03-06 23:02:35,181 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb.sv' 2024-03-06 23:02:35,182 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb_mem.sv' 2024-03-06 23:02:35,183 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave/wave_core.do' 2024-03-06 23:02:35,184 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/.gitignore' 2024-03-06 23:02:35,185 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/Makefile' 2024-03-06 23:02:35,186 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/commit_stage.rst' 2024-03-06 23:02:35,187 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/conf.py' 2024-03-06 23:02:35,188 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/cva6_soc.rst' 2024-03-06 23:02:35,189 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/ex_stage.md' 2024-03-06 23:02:35,190 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/id_stage.md' 2024-03-06 23:02:35,191 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/if_stage.md' 2024-03-06 23:02:35,192 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/index.rst' 2024-03-06 23:02:35,193 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/intro.rst' 2024-03-06 23:02:35,194 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/issue_stage.md' 2024-03-06 23:02:35,195 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/make.bat' 2024-03-06 23:02:35,196 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/pcgen_stage.md' 2024-03-06 23:02:35,197 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/requirements.txt' 2024-03-06 23:02:35,201 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.pdf' 2024-03-06 23:02:35,215 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.png' 2024-03-06 23:02:35,220 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/bg.jpg' 2024-03-06 23:02:35,233 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.pdf' 2024-03-06 23:02:35,245 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.png' 2024-03-06 23:02:35,247 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.pdf' 2024-03-06 23:02:35,253 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.png' 2024-03-06 23:02:35,257 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.pdf' 2024-03-06 23:02:35,270 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.png' 2024-03-06 23:02:35,275 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/jekyll-dark.png' 2024-03-06 23:02:35,277 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/jekyll.png' 2024-03-06 23:02:35,278 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/ld_pipeline_diagram.svg' 2024-03-06 23:02:35,279 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/logonav.png' 2024-03-06 23:02:35,283 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.pdf' 2024-03-06 23:02:35,295 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.png' 2024-03-06 23:02:35,301 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.pdf' 2024-03-06 23:02:35,313 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.png' 2024-03-06 23:02:35,318 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/openhw-landscape.svg' 2024-03-06 23:02:35,322 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.pdf' 2024-03-06 23:02:35,335 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.png' 2024-03-06 23:02:35,345 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.ai' 2024-03-06 23:02:35,371 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.png' 2024-03-06 23:02:35,373 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/.gitignore' 2024-03-06 23:02:35,373 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/Makefile' 2024-03-06 23:02:35,374 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/make.bat' 2024-03-06 23:02:35,375 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/requirements.txt' 2024-03-06 23:02:35,377 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/CVA6_subsystems.png' 2024-03-06 23:02:35,379 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/ariane_overview.png' 2024-03-06 23:02:35,383 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/bht.png' 2024-03-06 23:02:35,385 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/frontend_modules.png' 2024-03-06 23:02:35,387 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/jade_design_automation_logo.png' 2024-03-06 23:02:35,388 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/openhw-landscape.svg' 2024-03-06 23:02:35,389 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/subsystems.png' 2024-03-06 23:02:35,391 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.rst' 2024-03-06 23:02:35,394 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.xml' 2024-03-06 23:02:35,398 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/conf.py' 2024-03-06 23:02:35,399 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_frontend.rst' 2024-03-06 23:02:35,401 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_glossary.rst' 2024-03-06 23:02:35,402 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_intro.rst' 2024-03-06 23:02:35,403 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_system.rst' 2024-03-06 23:02:35,404 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/index.rst' 2024-03-06 23:02:35,405 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/specifications/cva6_requirement_specification.rst' 2024-03-06 23:02:35,407 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/specifications/images/cva6_scope.png' 2024-03-06 23:02:35,409 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/user_guide/cva6_ug_csr.adoc' 2024-03-06 23:02:35,411 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/.gitignore' 2024-03-06 23:02:35,412 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/Makefile' 2024-03-06 23:02:35,413 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/cva6_read.tcl' 2024-03-06 23:02:35,414 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/cva6_synth.tcl' 2024-03-06 23:02:35,415 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/tc_sram_wrapper_256_64_00000008_00000001_00000001_none_0.sv' 2024-03-06 23:02:35,416 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup.tcl' 2024-03-06 23:02:35,417 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup_filenames.tcl' 2024-03-06 23:02:35,418 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/scripts/gate_analysis.py' 2024-03-06 23:02:35,419 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/scripts/parse_ila_trace.py' 2024-03-06 23:02:35,420 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/LICENSE' 2024-03-06 23:02:35,421 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/METADATA' 2024-03-06 23:02:35,422 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/WHEEL' 2024-03-06 23:02:35,423 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/top_level.txt' 2024-03-06 23:02:35,456 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/RECORD' 2024-03-06 23:02:35,481 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:02:35,538 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_cva6-4.2.0.post435-py3-none-any.whl pythondata_cpu_cva6-4.2.0.post435-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-ibex 2024-03-06 23:02:36,683 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:02:36,963 root INFO running bdist_wheel 2024-03-06 23:02:37,047 root INFO running build 2024-03-06 23:02:37,047 root INFO running build_py 2024-03-06 23:02:37,059 root INFO creating build 2024-03-06 23:02:37,060 root INFO creating build/lib 2024-03-06 23:02:37,060 root INFO creating build/lib/pythondata_cpu_ibex 2024-03-06 23:02:37,061 root INFO copying pythondata_cpu_ibex/__init__.py -> build/lib/pythondata_cpu_ibex 2024-03-06 23:02:37,063 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:37,064 root INFO copying pythondata_cpu_ibex/system_verilog/tool_requirements.py -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:37,066 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/util 2024-03-06 23:02:37,067 root INFO copying pythondata_cpu_ibex/system_verilog/util/sv2v_in_place.py -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-03-06 23:02:37,068 root INFO copying pythondata_cpu_ibex/system_verilog/util/ibex_config.py -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-03-06 23:02:37,069 root INFO copying pythondata_cpu_ibex/system_verilog/util/check_tool_requirements.py -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-03-06 23:02:37,072 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-03-06 23:02:37,073 root INFO copying pythondata_cpu_ibex/system_verilog/ci/vars_to_logging_cmd.py -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-03-06 23:02:37,075 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:37,075 root INFO copying pythondata_cpu_ibex/system_verilog/doc/conf.py -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:37,085 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv 2024-03-06 23:02:37,085 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm 2024-03-06 23:02:37,086 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:37,086 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/compare.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:37,087 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_run_result.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:37,088 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_makefrag_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:37,089 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/run_rtl.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:37,090 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_entry.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:37,091 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:37,092 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/list_tests.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:37,093 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_cmd.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:37,094 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/collect_results.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:37,103 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:37,104 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:37,111 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-03-06 23:02:37,111 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:37,111 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/run.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:37,113 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:37,113 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/cov.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:37,120 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip 2024-03-06 23:02:37,121 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-03-06 23:02:37,121 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools 2024-03-06 23:02:37,122 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-03-06 23:02:37,122 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/parse-lint-report.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-03-06 23:02:37,123 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-03-06 23:02:37,124 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/parse-lint-report.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-03-06 23:02:37,125 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-03-06 23:02:37,126 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/parse-lint-report.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-03-06 23:02:37,131 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip 2024-03-06 23:02:37,132 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:37,132 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util 2024-03-06 23:02:37,132 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util 2024-03-06 23:02:37,139 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-03-06 23:02:37,139 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-03-06 23:02:37,140 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_modules.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-03-06 23:02:37,141 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-03-06 23:02:37,142 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-03-06 23:02:37,143 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_tree.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-03-06 23:02:37,154 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util 2024-03-06 23:02:37,154 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,155 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Scheduler.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,156 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LsfLauncher.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,157 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LauncherFactory.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,158 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SynCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,159 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Deploy.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,160 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__init__.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,161 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LintCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,162 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgJson.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,162 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Testplan.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,164 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FormalCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,165 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Launcher.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,166 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/testplanner.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,166 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FlowCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,167 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Timer.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,168 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,169 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/dvsim.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,171 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,171 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/OneShotCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,172 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LocalLauncher.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,173 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimResults.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,174 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,175 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Modes.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,176 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgFactory.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,177 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/StatusPrinter.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,178 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/sim_utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:37,179 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:37,180 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/uvmdvgen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:37,181 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_env.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:37,182 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__init__.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:37,183 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_agent.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:37,190 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv 2024-03-06 23:02:37,191 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-03-06 23:02:37,191 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-03-06 23:02:37,192 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-03-06 23:02:37,200 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:37,201 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/gen_csr_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:37,201 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/genMetricsList.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:37,202 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/riscv_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:37,203 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/metrics-regress.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:37,204 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/whisper_log_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:37,205 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/ovpsim_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:37,206 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:37,207 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/sail_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:37,208 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/instr_trace_compare.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:37,208 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/spike_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:37,216 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen 2024-03-06 23:02:37,217 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,217 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_stream.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,218 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_asm_program_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,219 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_pkg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,220 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,221 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_sequence.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,222 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privil_reg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,222 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_directed_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,223 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_cover_group.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,224 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_amo_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,225 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privileged_common_seq.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,226 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_defines.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,227 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_load_store_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,228 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_gen_config.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,229 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_reg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,230 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_data_page_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,231 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_pseudo_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,231 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_signature_pkg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,232 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_illegal_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:37,234 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:37,235 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_stream.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:37,236 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_asm_program_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:37,237 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_sequence.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:37,237 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_callstack_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:37,238 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_directed_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:37,239 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_load_store_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:37,240 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_rand_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:37,241 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_base.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:37,242 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:37,243 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_data_page_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:37,245 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,246 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32f_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,247 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_compressed_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,248 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32a_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,248 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32d_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,249 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_b_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,250 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32dc_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,251 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32i_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,252 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32m_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,253 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_cov_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,254 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_amo_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,255 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,256 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32fc_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,257 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32c_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,257 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32b_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,258 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_floating_point_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:37,260 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-03-06 23:02:37,260 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_base_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-03-06 23:02:37,261 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_cov_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-03-06 23:02:37,262 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_rand_instr_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-03-06 23:02:37,263 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target 2024-03-06 23:02:37,264 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-03-06 23:02:37,264 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-03-06 23:02:37,266 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-03-06 23:02:37,266 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-03-06 23:02:37,268 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-03-06 23:02:37,268 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-03-06 23:02:37,269 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-03-06 23:02:37,270 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-03-06 23:02:37,271 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-03-06 23:02:37,272 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-03-06 23:02:37,273 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-03-06 23:02:37,274 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:37,274 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/conf.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:37,276 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-03-06 23:02:37,276 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/riscv_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-03-06 23:02:37,277 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/ovpsim_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-03-06 23:02:37,278 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/instr_trace_compare.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-03-06 23:02:37,279 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/spike_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-03-06 23:02:37,290 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:37,290 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-03-06 23:02:37,291 root INFO copying pythondata_cpu_ibex/system_verilog/syn/python/build_translated_names.py -> build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-03-06 23:02:37,292 root INFO copying pythondata_cpu_ibex/system_verilog/syn/python/get_kge.py -> build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-03-06 23:02:37,292 root INFO copying pythondata_cpu_ibex/system_verilog/syn/python/translate_timing_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-03-06 23:02:37,293 root INFO copying pythondata_cpu_ibex/system_verilog/syn/python/flow_utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-03-06 23:02:37,312 root INFO running egg_info 2024-03-06 23:02:37,312 root INFO creating pythondata_cpu_ibex.egg-info 2024-03-06 23:02:37,320 root INFO writing pythondata_cpu_ibex.egg-info/PKG-INFO 2024-03-06 23:02:37,323 root INFO writing dependency_links to pythondata_cpu_ibex.egg-info/dependency_links.txt 2024-03-06 23:02:37,325 root INFO writing top-level names to pythondata_cpu_ibex.egg-info/top_level.txt 2024-03-06 23:02:37,326 root INFO writing manifest file 'pythondata_cpu_ibex.egg-info/SOURCES.txt' 2024-03-06 23:02:37,528 root INFO reading manifest file 'pythondata_cpu_ibex.egg-info/SOURCES.txt' 2024-03-06 23:02:37,529 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:02:37,648 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:02:37,649 root INFO adding license file 'LICENSE' 2024-03-06 23:02:37,776 root INFO writing manifest file 'pythondata_cpu_ibex.egg-info/SOURCES.txt' 2024-03-06 23:02:38,076 root INFO copying pythondata_cpu_ibex/system_verilog/.clang-format -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,077 root INFO copying pythondata_cpu_ibex/system_verilog/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,078 root INFO copying pythondata_cpu_ibex/system_verilog/.svlint.toml -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,079 root INFO copying pythondata_cpu_ibex/system_verilog/CONTRIBUTING.md -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,080 root INFO copying pythondata_cpu_ibex/system_verilog/CREDITS.md -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,081 root INFO copying pythondata_cpu_ibex/system_verilog/LICENSE -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,082 root INFO copying pythondata_cpu_ibex/system_verilog/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,082 root INFO copying pythondata_cpu_ibex/system_verilog/README.md -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,083 root INFO copying pythondata_cpu_ibex/system_verilog/azure-pipelines.yml -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,084 root INFO copying pythondata_cpu_ibex/system_verilog/check_tool_requirements.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,085 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_configs.yaml -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,086 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_core.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,087 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_icache.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,088 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_multdiv.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,088 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,089 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_top.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,090 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_top_tracing.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,091 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_tracer.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,092 root INFO copying pythondata_cpu_ibex/system_verilog/python-requirements.txt -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,093 root INFO copying pythondata_cpu_ibex/system_verilog/src_files.yml -> build/lib/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:38,094 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/.github 2024-03-06 23:02:38,094 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:38,094 root INFO copying pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/bug.md -> build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:38,095 root INFO copying pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/question.md -> build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:38,096 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-03-06 23:02:38,097 root INFO copying pythondata_cpu_ibex/system_verilog/.github/workflows/pr_lint_review.yml -> build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-03-06 23:02:38,097 root INFO copying pythondata_cpu_ibex/system_verilog/.github/workflows/pr_trigger.yml -> build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-03-06 23:02:38,098 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/lint 2024-03-06 23:02:38,099 root INFO copying pythondata_cpu_ibex/system_verilog/lint/verible_waiver.vbw -> build/lib/pythondata_cpu_ibex/system_verilog/lint 2024-03-06 23:02:38,100 root INFO copying pythondata_cpu_ibex/system_verilog/lint/verilator_waiver.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/lint 2024-03-06 23:02:38,100 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/formal 2024-03-06 23:02:38,101 root INFO copying pythondata_cpu_ibex/system_verilog/formal/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog/formal 2024-03-06 23:02:38,102 root INFO copying pythondata_cpu_ibex/system_verilog/util/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-03-06 23:02:38,103 root INFO copying pythondata_cpu_ibex/system_verilog/util/ibex_util_sv2v.core -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-03-06 23:02:38,103 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,104 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_alu.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,105 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_branch_predict.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,106 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_compressed_decoder.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,107 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_controller.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,108 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_core.f -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,108 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_core.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,109 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_counter.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,110 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_cs_registers.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,111 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_csr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,112 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_decoder.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,113 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_dummy_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,114 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_ex_block.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,115 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_fetch_fifo.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,116 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_icache.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,117 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_id_stage.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,117 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_if_stage.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,118 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_load_store_unit.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,119 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_lockstep.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,120 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_fast.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,121 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_slow.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,122 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,123 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_pmp.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,124 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_prefetch_buffer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,124 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_ff.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,125 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_fpga.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,126 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_latch.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,127 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_top.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,128 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_top_tracing.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,129 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,130 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,131 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_wb_stage.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:38,132 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark.lock.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-03-06 23:02:38,132 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.lock.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-03-06 23:02:38,133 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.vendor.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-03-06 23:02:38,134 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.lock.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-03-06 23:02:38,135 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.vendor.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-03-06 23:02:38,136 root INFO copying pythondata_cpu_ibex/system_verilog/ci/azp-private.yml -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-03-06 23:02:38,137 root INFO copying pythondata_cpu_ibex/system_verilog/ci/ibex-rtl-ci-steps.yml -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-03-06 23:02:38,138 root INFO copying pythondata_cpu_ibex/system_verilog/ci/install-build-deps.sh -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-03-06 23:02:38,138 root INFO copying pythondata_cpu_ibex/system_verilog/ci/vars.yml -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-03-06 23:02:38,139 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared 2024-03-06 23:02:38,140 root INFO copying pythondata_cpu_ibex/system_verilog/shared/fpga_xilinx.core -> build/lib/pythondata_cpu_ibex/system_verilog/shared 2024-03-06 23:02:38,141 root INFO copying pythondata_cpu_ibex/system_verilog/shared/sim_shared.core -> build/lib/pythondata_cpu_ibex/system_verilog/shared 2024-03-06 23:02:38,141 root INFO copying pythondata_cpu_ibex/system_verilog/syn/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:38,142 root INFO copying pythondata_cpu_ibex/system_verilog/syn/ibex_top.nangate.sdc -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:38,143 root INFO copying pythondata_cpu_ibex/system_verilog/syn/ibex_top_abc.nangate.sdc -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:38,144 root INFO copying pythondata_cpu_ibex/system_verilog/syn/ibex_top_lr_synth_conf.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:38,145 root INFO copying pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.do -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:38,146 root INFO copying pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.sh -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:38,146 root INFO copying pythondata_cpu_ibex/system_verilog/syn/syn_setup.example.sh -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:38,147 root INFO copying pythondata_cpu_ibex/system_verilog/syn/syn_yosys.sh -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:38,148 root INFO copying pythondata_cpu_ibex/system_verilog/syn/translate_timing_rpts.sh -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:38,149 root INFO copying pythondata_cpu_ibex/system_verilog/doc/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:38,150 root INFO copying pythondata_cpu_ibex/system_verilog/doc/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:38,151 root INFO copying pythondata_cpu_ibex/system_verilog/doc/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:38,152 root INFO copying pythondata_cpu_ibex/system_verilog/doc/make.bat -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:38,152 root INFO copying pythondata_cpu_ibex/system_verilog/doc/requirements.txt -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:38,153 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-03-06 23:02:38,154 root INFO copying pythondata_cpu_ibex/system_verilog/formal/riscv-formal/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-03-06 23:02:38,155 root INFO copying pythondata_cpu_ibex/system_verilog/formal/riscv-formal/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-03-06 23:02:38,155 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-03-06 23:02:38,156 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-03-06 23:02:38,157 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-03-06 23:02:38,158 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb_frag.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-03-06 23:02:38,159 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/ibex_icache_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-03-06 23:02:38,159 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/run.sby.j2 -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-03-06 23:02:38,160 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,161 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,162 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_div.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,162 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mulh.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,163 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mull.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,164 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_rem.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,165 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_div.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,166 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mulh.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,167 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mull.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,168 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_rem.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,168 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_div.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,169 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mulh.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,170 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mull.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,171 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_rem.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,172 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,173 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb_frag.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,174 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/ibex_data_ind_timing.core -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,174 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_div.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,175 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mulh.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,176 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mull.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,177 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_rem.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,178 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/run.sby.j2 -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:38,179 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-03-06 23:02:38,179 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-03-06 23:02:38,180 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-03-06 23:02:38,181 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-03-06 23:02:38,182 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-03-06 23:02:38,182 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-03-06 23:02:38,183 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-03-06 23:02:38,184 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb_cs_registers.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-03-06 23:02:38,185 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/common_project_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm 2024-03-06 23:02:38,185 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:38,186 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:38,187 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:38,188 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:38,188 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:38,189 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:38,190 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.svh -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:38,191 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:38,192 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:38,193 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint 2024-03-06 23:02:38,193 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint/verilator_waiver.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint 2024-03-06 23:02:38,194 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-03-06 23:02:38,194 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/ibex_riscv_compliance.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-03-06 23:02:38,195 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/riscv_testutil.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-03-06 23:02:38,196 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint 2024-03-06 23:02:38,197 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint/verilator_waiver.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint 2024-03-06 23:02:38,197 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:38,198 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:38,199 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:38,200 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:38,201 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:38,201 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_types.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:38,202 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:38,203 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:38,204 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-03-06 23:02:38,204 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-03-06 23:02:38,205 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-03-06 23:02:38,206 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-03-06 23:02:38,207 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-03-06 23:02:38,208 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-03-06 23:02:38,208 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-03-06 23:02:38,209 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-03-06 23:02:38,210 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-03-06 23:02:38,211 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-03-06 23:02:38,212 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-03-06 23:02:38,212 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-03-06 23:02:38,213 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-03-06 23:02:38,214 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:38,215 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/csr_listing.def -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:38,215 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:38,216 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:38,217 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:38,218 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:38,219 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:38,220 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:38,221 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:38,221 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:38,222 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv.f -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:38,223 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv_cosim_dpi.f -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:38,224 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/vcs.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:38,225 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-03-06 23:02:38,225 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-03-06 23:02:38,226 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-03-06 23:02:38,227 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-03-06 23:02:38,228 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache 2024-03-06 23:02:38,228 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-03-06 23:02:38,229 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-03-06 23:02:38,230 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-03-06 23:02:38,230 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-03-06 23:02:38,231 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data 2024-03-06 23:02:38,232 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data/ibex_icache_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data 2024-03-06 23:02:38,233 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-03-06 23:02:38,233 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/ibex_icache_dv_plan.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-03-06 23:02:38,234 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/tb.svg -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-03-06 23:02:38,235 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:38,236 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:38,236 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:38,237 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:38,238 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:38,239 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:38,240 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_scoreboard.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:38,241 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_virtual_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:38,242 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:38,242 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:38,243 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:38,244 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:38,245 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:38,246 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:38,246 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_bus_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:38,247 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:38,248 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:38,249 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:38,250 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:38,251 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_protocol_checker.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:38,252 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-03-06 23:02:38,252 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_base_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-03-06 23:02:38,253 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_oldval_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-03-06 23:02:38,254 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-03-06 23:02:38,255 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-03-06 23:02:38,256 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-03-06 23:02:38,256 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/ic_top.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-03-06 23:02:38,257 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-03-06 23:02:38,258 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,258 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,259 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,260 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,261 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,262 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,263 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,264 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_bus_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,264 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,265 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,266 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,267 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_protocol_checker.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,268 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_req_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,269 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_rsp_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,270 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:38,271 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,271 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,272 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,273 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,274 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,275 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,275 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,276 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_bus_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,277 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,278 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,279 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_model.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,280 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,281 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_protocol_checker.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,282 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_req_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,282 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_resp_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,283 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:38,284 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-03-06 23:02:38,285 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-03-06 23:02:38,285 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-03-06 23:02:38,286 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-03-06 23:02:38,287 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:38,288 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_back_line_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:38,288 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_base_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:38,289 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_caching_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:38,290 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_combo_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:38,291 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_ecc_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:38,292 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_invalidation_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:38,293 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_many_errors_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:38,294 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_oldval_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:38,295 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_passthru_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:38,296 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_reset_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:38,296 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_vseq_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:38,297 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-03-06 23:02:38,298 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_base_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-03-06 23:02:38,299 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_seq_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-03-06 23:02:38,299 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-03-06 23:02:38,300 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_back_line_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-03-06 23:02:38,301 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_base_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-03-06 23:02:38,302 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_seq_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-03-06 23:02:38,303 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-03-06 23:02:38,303 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_base_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-03-06 23:02:38,304 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_resp_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-03-06 23:02:38,305 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_seq_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-03-06 23:02:38,306 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:38,306 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_csr_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:38,307 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_dut_probe_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:38,308 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:38,309 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:38,310 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:38,311 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_instr_monitor_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:38,311 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_rvfi_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:38,312 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_vseqr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:38,313 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:38,314 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_base_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:38,314 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_report_server.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:38,315 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_seq_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:38,316 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:38,317 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:38,318 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:38,319 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb 2024-03-06 23:02:38,319 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb/core_ibex_tb_top.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb 2024-03-06 23:02:38,320 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/cov_testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:38,321 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/csr_description.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:38,322 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_asm_program_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:38,323 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ml_testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:38,324 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:38,325 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:38,326 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:38,326 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/user_extension.svh -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:38,327 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-03-06 23:02:38,328 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_bind.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-03-06 23:02:38,329 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-03-06 23:02:38,329 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml 2024-03-06 23:02:38,330 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml/rtl_simulation.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml 2024-03-06 23:02:38,331 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common 2024-03-06 23:02:38,331 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:38,332 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:38,333 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:38,334 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:38,334 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:38,335 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:38,336 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:38,337 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:38,338 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:38,339 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_seq_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:38,340 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:38,340 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_seq_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:38,341 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:38,342 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:38,343 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:38,344 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:38,344 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:38,345 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:38,346 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_seq_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:38,347 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-03-06 23:02:38,347 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-03-06 23:02:38,348 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_clock_gating.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-03-06 23:02:38,349 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-03-06 23:02:38,350 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_ram_1p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-03-06 23:02:38,351 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:38,351 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/core_ibex_ifetch_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:38,352 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:38,353 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:38,354 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:38,355 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_scoreboard.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:38,356 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:38,357 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_seq_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:38,358 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:38,358 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_seq_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:38,359 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:38,360 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.svh -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:38,361 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator 2024-03-06 23:02:38,361 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:38,362 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:38,363 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_cosim_setup_check.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:38,364 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:38,365 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:38,365 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker_bind.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:38,366 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/simple_system_cosim.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:38,367 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount 2024-03-06 23:02:38,368 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/ibex_pcounts.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount 2024-03-06 23:02:38,368 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util 2024-03-06 23:02:38,369 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util/ibex_cosim_setup_check.sh -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util 2024-03-06 23:02:38,370 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-03-06 23:02:38,370 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-03-06 23:02:38,371 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-03-06 23:02:38,372 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.flake8 -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,373 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,374 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.metrics.json -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,375 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.travis.yml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,375 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/CONTRIBUTING.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,376 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/LICENSE.txt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,377 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/MANIFEST.in -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,378 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,379 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/files.f -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,380 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/qrun_option.f -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,381 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/questa_sim.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,382 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/requirements.txt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,382 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/riviera_sim.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,383 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,384 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/vcs.compile.option.f -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:38,385 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github 2024-03-06 23:02:38,385 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows 2024-03-06 23:02:38,386 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows/metrics-regress.yml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows 2024-03-06 23:02:38,387 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:38,387 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/LICENSE.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:38,388 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:38,389 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:38,390 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_list_join.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:38,391 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_main.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:38,392 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_matrix.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:38,393 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_state.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:38,393 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_util.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:38,394 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:38,395 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.md5 -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:38,396 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches 2024-03-06 23:02:38,396 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv 2024-03-06 23:02:38,397 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv/0001-csr-test-start-addr.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv 2024-03-06 23:02:38,398 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark 2024-03-06 23:02:38,398 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark/0001-no-minimum-run-time.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark 2024-03-06 23:02:38,399 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip 2024-03-06 23:02:38,399 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils 2024-03-06 23:02:38,400 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils/0001-use-ibex-bus-params.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils 2024-03-06 23:02:38,401 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools 2024-03-06 23:02:38,401 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools/0001-common-sim-cfg.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools 2024-03-06 23:02:38,402 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib 2024-03-06 23:02:38,403 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib/0001-use-ibex-bus-params.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib 2024-03-06 23:02:38,403 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/common.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-03-06 23:02:38,404 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/comportable.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-03-06 23:02:38,405 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc 2024-03-06 23:02:38,406 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc 2024-03-06 23:02:38,406 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-03-06 23:02:38,407 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/ascentlint.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-03-06 23:02:38,408 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/common_lint_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-03-06 23:02:38,409 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/lint.mk -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-03-06 23:02:38,410 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/veriblelint.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-03-06 23:02:38,411 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/verilator.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-03-06 23:02:38,412 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/rules.vbl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-03-06 23:02:38,413 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/ascentlint-config.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-03-06 23:02:38,414 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/common.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-03-06 23:02:38,414 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/comportable.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-03-06 23:02:38,416 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/common.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-03-06 23:02:38,416 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/comportable.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-03-06 23:02:38,418 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:38,418 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:38,419 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:38,420 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_gating.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:38,421 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_mux2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:38,422 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:38,423 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop_en.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:38,423 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_attr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:38,424 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_wrapper.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:38,425 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_xor2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:38,426 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,427 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,428 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_alert.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,429 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_arbiter.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,429 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_assert.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,430 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,431 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,432 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,433 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,434 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_div.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,435 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_gating.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,436 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_inv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,436 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_mux2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,437 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_diff_decode.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,438 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_dom_and_2share.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,439 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_edn_req.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,440 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_esc.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,441 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_fifo.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,442 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flash.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,443 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,443 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_2sync.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,444 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_en.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,445 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_gf_mult.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,446 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_dec.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,447 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sender.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,448 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sync.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,449 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lfsr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,449 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_msb_extend.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,450 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_multibit_sync.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,451 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,452 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,453 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_attr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,454 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,455 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,455 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,456 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,457 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_adv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,458 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,459 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_scr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,460 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,461 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_adv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,462 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_async_adv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,462 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,463 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,464 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_adv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,465 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,466 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_secded.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,467 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_subreg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,468 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_usb_diff_rx.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,469 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,469 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_get_scramble_params.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,470 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_memload.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,471 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_xor2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,472 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/primgen.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:38,473 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,473 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,474 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,475 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_gating.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,476 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_inv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,477 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_mux2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,478 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flash.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,479 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,479 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_2sync.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,480 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_en.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,481 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_otp.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,482 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_attr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,483 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_wrapper.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,484 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_1p.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,485 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_2p.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,486 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_rom.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,486 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_usb_diff_rx.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,487 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_xor2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:38,488 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:38,489 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:38,489 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:38,490 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:38,491 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:38,492 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:38,493 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:38,494 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:38,495 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:38,495 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:38,496 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:38,497 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:38,498 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:38,499 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:38,499 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:38,500 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:38,501 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:38,502 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:38,503 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:38,504 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,504 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,505 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,506 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,507 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,508 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_buf.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,509 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_cipher_pkg.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,510 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_buf.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,510 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_div.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,511 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_gating.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,512 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_inv.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,513 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_mux2.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,514 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,515 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,516 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flash.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,517 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,517 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_2sync.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,518 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_en.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,519 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_otp.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,520 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_attr.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,521 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_wrapper.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,522 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,523 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_adv.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,524 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_scr.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,524 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_2p.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,525 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_rom.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,526 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,527 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,528 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_usb_diff_rx.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:38,529 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,529 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,530 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_receiver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,531 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_sender.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,532 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_fixed.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,533 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_ppc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,534 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_tree.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,535 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,536 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_dummy_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,536 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_standard_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,537 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_yosys_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,538 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_cipher_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,539 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_div.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,540 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_gating_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,541 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_diff_decode.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,542 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_dom_and_2share.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,543 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_edn_req.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,544 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,544 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_receiver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,545 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_sender.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,546 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_async.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,547 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,548 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,549 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter_ctr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,550 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gate_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,551 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gf_mult.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,552 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_intr_hw.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,552 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_keccak.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,553 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,554 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sender.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,555 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,556 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lfsr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,557 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_msb_extend.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,558 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_multibit_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,559 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_otp_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,559 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,560 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer_fifo.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,561 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pad_wrapper_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,562 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_present.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,563 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_prince.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,564 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pulse_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,565 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_adv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,566 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,567 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_scr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,568 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_adv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,568 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_async_adv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,569 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,570 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_adv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,571 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,572 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,573 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,574 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,575 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,575 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,576 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,577 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,578 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,579 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,580 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,581 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,582 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,582 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,583 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,584 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,585 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,586 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,587 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_slicer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,588 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sram_arbiter.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,589 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,589 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_arb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,590 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_ext.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,591 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_shadow.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,592 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subst_perm.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,593 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,594 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack_data.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,595 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_slow_fast.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,596 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_get_scramble_params.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,597 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_memload.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,597 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:38,598 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,599 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,600 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,600 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,601 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,602 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_fixed_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,603 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_ppc_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,604 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_tree_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,605 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_esc_rxtx_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,606 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_fifo_sync_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,607 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_keccak_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,607 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_lfsr_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,608 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_packer_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,609 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_22_16_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,610 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_28_22_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,611 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_39_32_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,612 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_64_57_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,613 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_72_64_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,613 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,614 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,615 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:38,616 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:38,617 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_flash.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:38,618 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_keccak.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:38,618 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_lfsr.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:38,619 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:38,620 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer_fifo.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:38,621 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_present.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:38,622 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_prince.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:38,623 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv 2024-03-06 23:02:38,623 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-03-06 23:02:38,624 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-03-06 23:02:38,624 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-03-06 23:02:38,625 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl 2024-03-06 23:02:38,626 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl 2024-03-06 23:02:38,627 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp 2024-03-06 23:02:38,627 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp 2024-03-06 23:02:38,628 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-03-06 23:02:38,628 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/abstract_prim.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-03-06 23:02:38,629 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-03-06 23:02:38,630 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-03-06 23:02:38,631 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-03-06 23:02:38,632 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-03-06 23:02:38,633 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/BUILD -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-03-06 23:02:38,634 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv 2024-03-06 23:02:38,634 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-03-06 23:02:38,635 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-03-06 23:02:38,635 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-03-06 23:02:38,636 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-03-06 23:02:38,637 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-03-06 23:02:38,638 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-03-06 23:02:38,639 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-03-06 23:02:38,639 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-03-06 23:02:38,640 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-03-06 23:02:38,641 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-03-06 23:02:38,642 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-03-06 23:02:38,642 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-03-06 23:02:38,643 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-03-06 23:02:38,644 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb 2024-03-06 23:02:38,644 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb/prim_lfsr_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb 2024-03-06 23:02:38,645 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-03-06 23:02:38,646 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-03-06 23:02:38,647 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-03-06 23:02:38,648 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb 2024-03-06 23:02:38,648 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb 2024-03-06 23:02:38,649 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data 2024-03-06 23:02:38,649 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data 2024-03-06 23:02:38,650 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:38,651 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:38,652 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:38,653 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:38,653 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:38,654 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:38,655 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:38,656 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr 2024-03-06 23:02:38,656 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-03-06 23:02:38,657 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-03-06 23:02:38,658 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-03-06 23:02:38,659 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-03-06 23:02:38,659 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb 2024-03-06 23:02:38,660 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb/prim_present_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb 2024-03-06 23:02:38,661 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data 2024-03-06 23:02:38,661 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data/prim_present_cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data 2024-03-06 23:02:38,662 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:38,663 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/boxes.inc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:38,664 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/comline.inc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:38,665 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:38,665 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:38,666 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:38,667 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/present.inc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:38,668 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/verbose.inc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:38,669 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,670 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,670 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,671 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,672 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,673 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,674 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,675 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,676 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,677 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_fixed_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,677 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_ppc_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,678 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_tree_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,679 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,680 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,681 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,682 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,683 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_keccak_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,684 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_lfsr_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,684 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_packer_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,685 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,686 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,687 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,688 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,689 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,690 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,691 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,692 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,692 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,693 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,694 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,695 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,696 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,697 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,698 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,699 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:38,699 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,700 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,701 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,702 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,703 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,703 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,704 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,705 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,706 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,707 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,708 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,709 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,710 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:38,711 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,711 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,712 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,713 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,714 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,715 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,715 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,716 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,717 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,718 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,719 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,720 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,721 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,722 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,722 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,723 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,724 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:38,725 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,726 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,726 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,727 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_gating.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,728 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_inv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,729 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_mux2.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,730 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,731 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash_bank.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,732 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,732 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_2sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,733 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_en.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,734 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_otp.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,735 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_attr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,736 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,737 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_1p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,738 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_2p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,739 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_rom.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,740 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,740 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_xor2.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:38,741 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:38,742 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/style.css -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:38,743 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,744 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,745 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,746 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,746 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cfg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,747 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cov.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,748 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_pkg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,749 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_seq.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,750 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_test.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,751 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_vseq.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,752 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/bind.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,753 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/checklist.md.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,753 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/common_vseq.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,754 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/cov_excl.el.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,755 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/device_driver.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,756 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/driver.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,757 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,758 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,759 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cfg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,760 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cov.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,760 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_pkg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,761 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/host_driver.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,762 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/if.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,763 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/index.md.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,764 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/item.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,765 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/monitor.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,766 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/scoreboard.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,767 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/seq_list.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,767 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,768 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim_cfg.hjson.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,769 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/smoke_vseq.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,770 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sva.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,771 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/tb.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,772 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,773 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test_pkg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,774 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/testplan.hjson.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,774 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/virtual_sequencer.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,775 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/vseq_list.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:38,776 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc 2024-03-06 23:02:38,777 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc/testplanner.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc 2024-03-06 23:02:38,777 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples 2024-03-06 23:02:38,778 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-03-06 23:02:38,778 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/common_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-03-06 23:02:38,779 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_dv_doc.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-03-06 23:02:38,780 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_sim_results.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-03-06 23:02:38,781 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-03-06 23:02:38,782 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-03-06 23:02:38,783 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/common.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-03-06 23:02:38,784 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/sim.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-03-06 23:02:38,785 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/waves.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-03-06 23:02:38,785 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-03-06 23:02:38,786 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-03-06 23:02:38,787 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-03-06 23:02:38,788 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-03-06 23:02:38,788 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled_opts.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-03-06 23:02:38,789 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_verilator.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-03-06 23:02:38,790 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv 2024-03-06 23:02:38,791 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:38,791 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:38,792 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:38,793 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:38,794 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:38,794 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:38,795 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_report_server.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:38,796 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:38,797 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:38,798 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:38,799 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:38,800 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_vif_wrap.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:38,801 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:38,801 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/csr_excl_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:38,802 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_mem.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:38,803 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:38,804 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:38,805 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_block.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:38,806 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_field.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:38,806 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_map.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:38,807 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:38,808 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-03-06 23:02:38,809 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-03-06 23:02:38,810 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-03-06 23:02:38,810 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-03-06 23:02:38,811 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-03-06 23:02:38,812 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:38,813 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:38,814 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_rst_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:38,814 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/common_ifs.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:38,815 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/index.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:38,816 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:38,817 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.svg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:38,818 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_ifs.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:38,819 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-03-06 23:02:38,819 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-03-06 23:02:38,820 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_seq_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-03-06 23:02:38,821 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-03-06 23:02:38,822 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-03-06 23:02:38,823 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-03-06 23:02:38,823 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-03-06 23:02:38,824 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-03-06 23:02:38,825 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-03-06 23:02:38,826 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,826 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,827 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,828 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,829 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,830 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,831 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,832 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,833 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,833 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,834 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_scoreboard.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,835 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,836 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,837 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,838 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_virtual_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,839 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,840 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,841 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:38,841 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-03-06 23:02:38,842 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_merge.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-03-06 23:02:38,843 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_report.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-03-06 23:02:38,844 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/exclude.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-03-06 23:02:38,845 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/unr.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-03-06 23:02:38,845 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/xcelium.ccf -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-03-06 23:02:38,846 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-03-06 23:02:38,847 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/common_cov_excl.el -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-03-06 23:02:38,848 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-03-06 23:02:38,848 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover_reg_top.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-03-06 23:02:38,849 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/unr.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-03-06 23:02:38,850 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/xprop.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-03-06 23:02:38,851 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-03-06 23:02:38,852 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-03-06 23:02:38,853 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:38,853 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_modes.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:38,854 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:38,855 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/dsim.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:38,856 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/fusesoc.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:38,857 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/riviera.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:38,858 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/sim.mk -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:38,859 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/vcs.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:38,859 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/verilator.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:38,860 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/xcelium.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:38,861 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera 2024-03-06 23:02:38,862 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera/riviera_run.do -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera 2024-03-06 23:02:38,863 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:38,863 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/alert_test_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:38,864 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/csr_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:38,865 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/enable_reg_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:38,866 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:38,867 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/intr_test_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:38,867 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/mem_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:38,868 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:38,869 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:38,870 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:38,871 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:38,871 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/alert_test.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:38,872 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/csr_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:38,873 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/intr_test.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:38,874 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/mem_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:38,875 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:38,876 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/stress_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:38,877 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/tl_access_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:38,877 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator 2024-03-06 23:02:38,878 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/simutil_verilator.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator 2024-03-06 23:02:38,879 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,879 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,880 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,881 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,882 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,883 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,884 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,885 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ranged_map.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,886 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,886 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,887 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,888 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,889 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,890 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:38,891 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-03-06 23:02:38,891 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-03-06 23:02:38,892 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-03-06 23:02:38,893 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-03-06 23:02:38,894 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-03-06 23:02:38,895 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-03-06 23:02:38,896 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,896 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/dv_defines.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,897 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_amo_instr_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,898 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_asm_program_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,899 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_callstack_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,900 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_data_page_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,901 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_debug_rom_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,902 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_defines.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,903 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_directed_instr_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,903 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_illegal_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,904 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_cover_group.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,905 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_gen_config.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,906 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,907 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_sequence.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,908 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_stream.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,909 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_load_store_instr_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,910 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_loop_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,911 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,912 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_entry.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,912 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_exception_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,913 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,914 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pmp_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,915 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privil_reg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,916 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privileged_common_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,917 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pseudo_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,918 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_reg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,919 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_signature_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,920 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_vector_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:38,921 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-03-06 23:02:38,921 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/build-verible.sh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-03-06 23:02:38,922 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/exclude_filelist.f -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-03-06 23:02:38,923 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/run.sh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-03-06 23:02:38,924 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-03-06 23:02:38,924 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_define.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-03-06 23:02:38,925 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_extension.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-03-06 23:02:38,926 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_init.s -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-03-06 23:02:38,927 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:38,927 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_base_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:38,928 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_cov_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:38,929 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_gen_tb_top.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:38,930 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:38,931 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:38,931 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:38,932 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-03-06 23:02:38,933 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/base_testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-03-06 23:02:38,934 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/cov_testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-03-06 23:02:38,935 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/csr_template.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-03-06 23:02:38,935 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/iss.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-03-06 23:02:38,936 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/simulator.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-03-06 23:02:38,937 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-03-06 23:02:38,938 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/make.bat -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-03-06 23:02:38,939 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/check-status -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:38,940 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/link.ld -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:38,941 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,941 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_amo_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,942 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_b_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,943 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_compressed_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,944 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_floating_point_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,945 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,946 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr_cov.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,947 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_vector_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,948 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv128c_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,949 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32a_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,949 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32b_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,950 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32c_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,951 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32d_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,952 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32dc_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,953 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32f_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,954 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32fc_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,955 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32i_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,955 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32m_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,956 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32v_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,957 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64a_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,958 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64b_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,959 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64c_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,960 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64d_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,961 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64f_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,961 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64i_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,962 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64m_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:38,963 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-03-06 23:02:38,964 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-03-06 23:02:38,965 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr_enum.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-03-06 23:02:38,965 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv32x_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-03-06 23:02:38,966 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv64x_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-03-06 23:02:38,967 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target 2024-03-06 23:02:38,968 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-03-06 23:02:38,968 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-03-06 23:02:38,969 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-03-06 23:02:38,970 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-03-06 23:02:38,971 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-03-06 23:02:38,971 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-03-06 23:02:38,972 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-03-06 23:02:38,973 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-03-06 23:02:38,974 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-03-06 23:02:38,974 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-03-06 23:02:38,975 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-03-06 23:02:38,976 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-03-06 23:02:38,977 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-03-06 23:02:38,977 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-03-06 23:02:38,978 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-03-06 23:02:38,979 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-03-06 23:02:38,980 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-03-06 23:02:38,980 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-03-06 23:02:38,981 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-03-06 23:02:38,982 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-03-06 23:02:38,983 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-03-06 23:02:38,984 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-03-06 23:02:38,984 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-03-06 23:02:38,985 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-03-06 23:02:38,986 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-03-06 23:02:38,987 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-03-06 23:02:38,988 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-03-06 23:02:38,988 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-03-06 23:02:38,989 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-03-06 23:02:38,990 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-03-06 23:02:38,991 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-03-06 23:02:38,992 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-03-06 23:02:38,992 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-03-06 23:02:38,993 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-03-06 23:02:38,994 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-03-06 23:02:38,995 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-03-06 23:02:38,995 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-03-06 23:02:38,996 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-03-06 23:02:38,997 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-03-06 23:02:38,998 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-03-06 23:02:38,998 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-03-06 23:02:38,999 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-03-06 23:02:39,000 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-03-06 23:02:39,001 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:39,002 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-03-06 23:02:39,003 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-03-06 23:02:39,004 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-03-06 23:02:39,004 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-03-06 23:02:39,005 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-03-06 23:02:39,006 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/appendix.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,007 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/class_reference.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,008 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/cmd_line_reference.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,009 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/configuration.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,010 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/coverage_model.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,011 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/customize_extend_generator.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,011 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/end_to_end_simulation.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,012 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/extension_support.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,013 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/generator_flow.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,014 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/getting_started.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,015 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/handshake.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,016 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,017 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/overview.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,018 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/trace_csv.png -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:39,018 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-03-06 23:02:39,019 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-03-06 23:02:39,020 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-03-06 23:02:39,021 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-03-06 23:02:39,022 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-03-06 23:02:39,022 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-03-06 23:02:39,023 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-03-06 23:02:39,024 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-03-06 23:02:39,025 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/cvt.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-03-06 23:02:39,026 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/ee_printf.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-03-06 23:02:39,026 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-03-06 23:02:39,027 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-03-06 23:02:39,028 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-03-06 23:02:39,029 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-03-06 23:02:39,030 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-03-06 23:02:39,030 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-03-06 23:02:39,031 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-03-06 23:02:39,032 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-03-06 23:02:39,033 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-03-06 23:02:39,033 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-03-06 23:02:39,034 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-03-06 23:02:39,035 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-03-06 23:02:39,036 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-03-06 23:02:39,036 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-03-06 23:02:39,037 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-03-06 23:02:39,038 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-03-06 23:02:39,039 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-03-06 23:02:39,039 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/READM.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-03-06 23:02:39,040 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/balance_O0_joined.png -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-03-06 23:02:39,041 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/coremark_profile_o0_joined.png -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-03-06 23:02:39,043 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html 2024-03-06 23:02:39,043 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html 2024-03-06 23:02:39,044 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:39,044 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_list_join-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:39,045 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_main-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:39,046 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_matrix-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:39,047 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_state-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:39,048 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_util-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:39,049 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/coremark-h.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:39,050 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/readme-txt.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:39,051 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/release_notes-txt.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:39,052 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-03-06 23:02:39,052 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/1.css -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-03-06 23:02:39,053 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/2.css -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-03-06 23:02:39,054 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/main.css -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-03-06 23:02:39,055 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:39,056 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/BuildTargets.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:39,056 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configuration.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:39,057 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configurations.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:39,058 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Files.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:39,059 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Functions.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:39,060 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:39,061 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General2.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:39,062 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Types.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:39,063 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Variables.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:39,064 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-03-06 23:02:39,064 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/main.js -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-03-06 23:02:39,065 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/searchdata.js -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-03-06 23:02:39,066 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,067 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/BuildTargetsP.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,067 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,068 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationH.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,069 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationM.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,070 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,071 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationT.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,072 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationU.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,073 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsH.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,074 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsM.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,075 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,075 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsT.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,076 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,077 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesR.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,078 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,079 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsG.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,080 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsI.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,081 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsM.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,082 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsP.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,082 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,083 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsT.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,084 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralB.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,085 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,086 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralD.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,087 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralF.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,088 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralG.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,089 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralH.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,090 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralI.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,091 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralL.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,091 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralM.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,092 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralO.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,093 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralP.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,094 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralR.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,095 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,096 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralT.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,097 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralU.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,098 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralV.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,098 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralW.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,099 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/NoResults.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,100 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/TypesS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,101 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,102 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesD.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,103 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesL.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,104 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesO.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,105 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesP.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,106 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesR.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,107 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:39,107 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-03-06 23:02:39,108 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-03-06 23:02:39,109 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-h.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-03-06 23:02:39,110 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-mak.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-03-06 23:02:39,111 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32 2024-03-06 23:02:39,111 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32/core_portme-mak.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32 2024-03-06 23:02:39,112 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs 2024-03-06 23:02:39,113 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs/core_state.png -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs 2024-03-06 23:02:39,114 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-03-06 23:02:39,114 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/bus.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-03-06 23:02:39,115 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/ram_1p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-03-06 23:02:39,116 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/ram_2p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-03-06 23:02:39,117 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/timer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-03-06 23:02:39,118 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/sim 2024-03-06 23:02:39,118 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/sim/simulator_ctrl.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/sim 2024-03-06 23:02:39,119 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga 2024-03-06 23:02:39,119 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx 2024-03-06 23:02:39,120 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx/clkgen_xil7series.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx 2024-03-06 23:02:39,121 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-03-06 23:02:39,121 root INFO copying pythondata_cpu_ibex/system_verilog/syn/rtl/latch_map.v -> build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-03-06 23:02:39,122 root INFO copying pythondata_cpu_ibex/system_verilog/syn/rtl/prim_clock_gating.v -> build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-03-06 23:02:39,123 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:39,123 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/flow_utils.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:39,124 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/lr_synth_flow_var_setup.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:39,125 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/sta_common.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:39,126 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/sta_open_design.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:39,127 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/sta_run_reports.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:39,128 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/sta_utils.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:39,128 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_common.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:39,129 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_post_synth.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:39,130 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_pre_map.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:39,131 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_run_synth.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:39,132 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-03-06 23:02:39,132 root INFO copying pythondata_cpu_ibex/system_verilog/doc/04_developer/concierge.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-03-06 23:02:39,133 root INFO copying pythondata_cpu_ibex/system_verilog/doc/04_developer/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-03-06 23:02:39,134 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-03-06 23:02:39,135 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/examples.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-03-06 23:02:39,135 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/getting_started.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-03-06 23:02:39,136 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-03-06 23:02:39,137 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/integration.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-03-06 23:02:39,138 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/system_requirements.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-03-06 23:02:39,139 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,139 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/cosim.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,140 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/cs_registers.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,141 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/debug.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,142 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/exception_interrupts.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,143 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/history.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,144 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/icache.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,145 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,146 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_decode_execute.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,146 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_fetch.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,147 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/load_store_unit.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,148 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/performance_counters.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,149 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/pipeline_details.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,150 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/pmp.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,151 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/register_file.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,152 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/rvfi.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,153 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/security.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,154 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/tracer.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,154 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/verification.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:39,155 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-03-06 23:02:39,156 root INFO copying pythondata_cpu_ibex/system_verilog/doc/01_overview/compliance.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-03-06 23:02:39,157 root INFO copying pythondata_cpu_ibex/system_verilog/doc/01_overview/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-03-06 23:02:39,157 root INFO copying pythondata_cpu_ibex/system_verilog/doc/01_overview/licensing.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-03-06 23:02:39,158 root INFO copying pythondata_cpu_ibex/system_verilog/doc/01_overview/targets.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-03-06 23:02:39,159 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/_static 2024-03-06 23:02:39,160 root INFO copying pythondata_cpu_ibex/system_verilog/doc/_static/theme_overrides.css -> build/lib/pythondata_cpu_ibex/system_verilog/doc/_static 2024-03-06 23:02:39,160 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:39,161 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/blockdiagram.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:39,162 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/de_ex_stage.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:39,163 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/dv-flow.png -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:39,164 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_block.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:39,165 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_mux.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:39,166 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/if_stage.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:39,167 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/logo.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:39,168 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/tb.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:39,170 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples 2024-03-06 23:02:39,171 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw 2024-03-06 23:02:39,171 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw 2024-03-06 23:02:39,172 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:39,173 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:39,174 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.cc -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:39,175 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.core -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:39,175 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.h -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:39,176 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_core.core -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:39,177 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_main.cc -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:39,178 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/spike-simple-system.sh -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:39,179 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga 2024-03-06 23:02:39,179 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-03-06 23:02:39,180 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-03-06 23:02:39,181 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/top_artya7.core -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-03-06 23:02:39,182 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-03-06 23:02:39,182 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_hook_write_bitstream_pre.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-03-06 23:02:39,183 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_setup_hooks.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-03-06 23:02:39,184 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl 2024-03-06 23:02:39,185 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl/top_artya7.sv -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl 2024-03-06 23:02:39,185 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data 2024-03-06 23:02:39,186 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data/pins_artya7.xdc -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data 2024-03-06 23:02:39,187 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks 2024-03-06 23:02:39,187 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks 2024-03-06 23:02:39,188 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-03-06 23:02:39,189 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/led/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-03-06 23:02:39,190 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/led/crt0.S -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-03-06 23:02:39,191 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/led/led.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-03-06 23:02:39,191 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/led/link.ld -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-03-06 23:02:39,192 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system 2024-03-06 23:02:39,193 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:39,193 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/common.mk -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:39,194 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/crt0.S -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:39,195 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/link.ld -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:39,196 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:39,197 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.h -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:39,197 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_regs.h -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:39,198 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-03-06 23:02:39,199 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-03-06 23:02:39,200 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/hello_test.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-03-06 23:02:39,200 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark 2024-03-06 23:02:39,201 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark 2024-03-06 23:02:39,202 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-03-06 23:02:39,202 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-03-06 23:02:39,203 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-03-06 23:02:39,204 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-03-06 23:02:39,205 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/ee_printf.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-03-06 23:02:39,206 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-03-06 23:02:39,206 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verible_waiver.vbw -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-03-06 23:02:39,207 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verilator_waiver.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-03-06 23:02:39,208 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl 2024-03-06 23:02:39,208 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl/ibex_simple_system.sv -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl 2024-03-06 23:02:39,332 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:02:39,332 root INFO running install 2024-03-06 23:02:39,406 root INFO running install_lib 2024-03-06 23:02:39,416 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:02:39,417 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:02:39,417 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex 2024-03-06 23:02:39,418 root INFO copying build/lib/pythondata_cpu_ibex/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex 2024-03-06 23:02:39,419 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,420 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/lint 2024-03-06 23:02:39,420 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/lint/verible_waiver.vbw -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/lint 2024-03-06 23:02:39,421 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/lint/verilator_waiver.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/lint 2024-03-06 23:02:39,422 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/python-requirements.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,422 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/CREDITS.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,423 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal 2024-03-06 23:02:39,424 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal 2024-03-06 23:02:39,425 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-03-06 23:02:39,425 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-03-06 23:02:39,426 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-03-06 23:02:39,427 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-03-06 23:02:39,427 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb_frag.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-03-06 23:02:39,428 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-03-06 23:02:39,429 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/ibex_icache_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-03-06 23:02:39,430 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/run.sby.j2 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-03-06 23:02:39,430 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-03-06 23:02:39,431 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,432 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_rem.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,433 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_rem.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,433 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_rem.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,434 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_div.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,435 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb_frag.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,436 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mull.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,436 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mull.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,437 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,438 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mull.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,439 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mulh.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,439 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_div.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,440 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/ibex_data_ind_timing.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,441 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_div.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,442 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/run.sby.j2 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,442 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_div.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,443 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mulh.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,444 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mulh.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,445 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mulh.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,446 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mull.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,446 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_rem.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,447 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-03-06 23:02:39,448 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-03-06 23:02:39,448 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/sv2v_in_place.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-03-06 23:02:39,449 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/ibex_config.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-03-06 23:02:39,450 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/ibex_util_sv2v.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-03-06 23:02:39,451 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/check_tool_requirements.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-03-06 23:02:39,452 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-03-06 23:02:39,452 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/check_tool_requirements.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,453 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/src_files.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,454 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,455 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_core.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,455 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_configs.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,456 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,457 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.svlint.toml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,458 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_tracer.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,458 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/azure-pipelines.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,459 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv 2024-03-06 23:02:39,460 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-03-06 23:02:39,460 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-03-06 23:02:39,461 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint 2024-03-06 23:02:39,462 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint/verilator_waiver.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint 2024-03-06 23:02:39,463 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-03-06 23:02:39,463 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/riscv_testutil.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-03-06 23:02:39,464 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/ibex_riscv_compliance.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-03-06 23:02:39,465 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-03-06 23:02:39,465 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-03-06 23:02:39,466 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-03-06 23:02:39,467 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint 2024-03-06 23:02:39,467 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint/verilator_waiver.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint 2024-03-06 23:02:39,468 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:39,469 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:39,470 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:39,470 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:39,471 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:39,472 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:39,473 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:39,473 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_types.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-03-06 23:02:39,474 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-03-06 23:02:39,475 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-03-06 23:02:39,476 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-03-06 23:02:39,476 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-03-06 23:02:39,477 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-03-06 23:02:39,478 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-03-06 23:02:39,478 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-03-06 23:02:39,479 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-03-06 23:02:39,480 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-03-06 23:02:39,481 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-03-06 23:02:39,481 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-03-06 23:02:39,482 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-03-06 23:02:39,483 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-03-06 23:02:39,484 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-03-06 23:02:39,485 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb_cs_registers.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-03-06 23:02:39,485 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-03-06 23:02:39,486 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:39,487 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/csr_listing.def -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:39,487 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:39,488 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:39,489 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:39,490 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:39,490 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:39,491 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-03-06 23:02:39,492 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm 2024-03-06 23:02:39,493 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache 2024-03-06 23:02:39,493 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-03-06 23:02:39,494 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:39,495 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:39,495 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_passthru_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:39,496 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_ecc_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:39,497 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_back_line_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:39,498 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_many_errors_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:39,498 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_reset_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:39,499 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_caching_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:39,500 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_oldval_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:39,501 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_combo_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:39,501 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_base_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:39,502 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_vseq_list.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:39,503 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_invalidation_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-03-06 23:02:39,504 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_virtual_sequencer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:39,505 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:39,505 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:39,506 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:39,507 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cov.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:39,508 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:39,508 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_scoreboard.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-03-06 23:02:39,509 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:39,510 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:39,511 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-03-06 23:02:39,511 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_base_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-03-06 23:02:39,512 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_seq_list.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-03-06 23:02:39,513 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:39,514 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:39,515 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:39,515 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:39,516 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_bus_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:39,517 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:39,518 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:39,518 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_protocol_checker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:39,519 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:39,520 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-03-06 23:02:39,521 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-03-06 23:02:39,521 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-03-06 23:02:39,522 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_base_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-03-06 23:02:39,523 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-03-06 23:02:39,524 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_oldval_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-03-06 23:02:39,525 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-03-06 23:02:39,525 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-03-06 23:02:39,526 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/ic_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-03-06 23:02:39,527 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,527 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_req_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,528 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-03-06 23:02:39,529 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_seq_list.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-03-06 23:02:39,530 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_back_line_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-03-06 23:02:39,530 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_base_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-03-06 23:02:39,531 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_bus_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,532 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_rsp_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,533 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,533 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cov.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,534 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,535 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,536 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_protocol_checker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,537 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,537 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,538 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_sequencer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,539 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,540 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,540 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-03-06 23:02:39,541 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-03-06 23:02:39,542 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,543 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_req_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,544 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-03-06 23:02:39,544 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_resp_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-03-06 23:02:39,545 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_seq_list.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-03-06 23:02:39,546 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_base_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-03-06 23:02:39,547 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,547 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,548 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,549 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,550 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_protocol_checker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,550 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_resp_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,551 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_model.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,552 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_bus_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,553 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cov.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,553 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,554 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_sequencer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,555 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,556 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,557 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-03-06 23:02:39,557 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-03-06 23:02:39,558 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-03-06 23:02:39,559 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-03-06 23:02:39,560 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-03-06 23:02:39,560 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-03-06 23:02:39,561 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-03-06 23:02:39,562 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data 2024-03-06 23:02:39,562 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data/ibex_icache_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data 2024-03-06 23:02:39,563 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-03-06 23:02:39,564 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/ibex_icache_dv_plan.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-03-06 23:02:39,565 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/tb.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-03-06 23:02:39,566 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/common_project_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm 2024-03-06 23:02:39,567 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,567 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common 2024-03-06 23:02:39,568 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:39,568 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:39,569 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:39,570 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:39,571 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_seq_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:39,572 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:39,572 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_seq_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:39,573 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:39,574 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_sequencer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:39,575 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:39,576 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:39,576 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-03-06 23:02:39,577 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:39,578 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:39,578 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_seq_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:39,579 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:39,580 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:39,581 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_agent_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:39,582 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-03-06 23:02:39,583 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-03-06 23:02:39,583 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_buf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-03-06 23:02:39,584 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-03-06 23:02:39,585 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_clock_gating.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-03-06 23:02:39,585 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_ram_1p.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-03-06 23:02:39,586 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:39,587 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:39,588 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_seq_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:39,588 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:39,589 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:39,590 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_seq_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:39,591 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:39,592 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:39,592 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:39,593 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:39,594 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/core_ibex_ifetch_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:39,595 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_scoreboard.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-03-06 23:02:39,596 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:39,596 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_csr_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:39,597 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:39,598 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_vseqr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:39,598 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:39,599 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_dut_probe_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:39,600 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:39,601 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_rvfi_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:39,602 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_instr_monitor_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-03-06 23:02:39,602 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/compare.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,603 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:39,604 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:39,605 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_report_server.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:39,605 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:39,606 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_base_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:39,607 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:39,608 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_seq_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-03-06 23:02:39,609 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_run_result.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,610 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb 2024-03-06 23:02:39,610 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb/core_ibex_tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb 2024-03-06 23:02:39,611 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_makefrag_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,612 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/run_rtl.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,612 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/vcs.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,613 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:39,614 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ml_testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:39,615 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:39,616 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:39,616 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/user_extension.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:39,617 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_log_to_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:39,618 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_asm_program_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:39,619 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/cov_testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:39,620 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:39,620 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/csr_description.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-03-06 23:02:39,621 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-03-06 23:02:39,622 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_bind.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-03-06 23:02:39,623 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-03-06 23:02:39,623 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_entry.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,624 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/cover.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,625 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,626 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv_cosim_dpi.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,627 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml 2024-03-06 23:02:39,627 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml/rtl_simulation.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml 2024-03-06 23:02:39,628 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/list_tests.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,629 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,629 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,630 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_cmd.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,631 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/collect_results.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-03-06 23:02:39,632 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-03-06 23:02:39,632 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-03-06 23:02:39,633 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-03-06 23:02:39,634 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-03-06 23:02:39,635 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator 2024-03-06 23:02:39,635 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:39,636 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:39,637 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util 2024-03-06 23:02:39,637 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util/ibex_cosim_setup_check.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util 2024-03-06 23:02:39,638 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:39,639 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_cosim_setup_check.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:39,640 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker_bind.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:39,640 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:39,641 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/simple_system_cosim.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-03-06 23:02:39,642 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount 2024-03-06 23:02:39,643 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/ibex_pcounts.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount 2024-03-06 23:02:39,643 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-03-06 23:02:39,644 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-03-06 23:02:39,645 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-03-06 23:02:39,646 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:39,646 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:39,647 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:39,648 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:39,648 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:39,649 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:39,650 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:39,651 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:39,652 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-03-06 23:02:39,652 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,653 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_icache.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,654 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,655 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_ex_block.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,655 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_compressed_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,656 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,657 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_core.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,658 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,659 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_counter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,659 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_id_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,660 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_csr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,661 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_fast.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,662 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_core.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,663 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_top_tracing.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,663 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,664 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_branch_predict.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,665 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_alu.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,666 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_wb_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,667 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_dummy_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,667 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_latch.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,668 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_lockstep.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,669 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_slow.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,670 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_decoder.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,671 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_ff.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,671 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_fpga.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,672 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_controller.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,673 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_prefetch_buffer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,674 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_pmp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,675 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_if_stage.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,675 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_icache.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,676 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_load_store_unit.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,677 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,678 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_cs_registers.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,679 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_fetch_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-03-06 23:02:39,680 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_multdiv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:39,681 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-03-06 23:02:39,681 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.vendor.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-03-06 23:02:39,682 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches 2024-03-06 23:02:39,683 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip 2024-03-06 23:02:39,683 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils 2024-03-06 23:02:39,684 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils/0001-use-ibex-bus-params.patch -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils 2024-03-06 23:02:39,685 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools 2024-03-06 23:02:39,685 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools/0001-common-sim-cfg.patch -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools 2024-03-06 23:02:39,686 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib 2024-03-06 23:02:39,687 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib/0001-use-ibex-bus-params.patch -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib 2024-03-06 23:02:39,687 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv 2024-03-06 23:02:39,688 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv/0001-csr-test-start-addr.patch -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv 2024-03-06 23:02:39,689 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark 2024-03-06 23:02:39,689 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark/0001-no-minimum-run-time.patch -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark 2024-03-06 23:02:39,690 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip 2024-03-06 23:02:39,691 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-03-06 23:02:39,692 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/common.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-03-06 23:02:39,692 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools 2024-03-06 23:02:39,693 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-03-06 23:02:39,694 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/verilator.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-03-06 23:02:39,694 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/lint.mk -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-03-06 23:02:39,695 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/veriblelint.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-03-06 23:02:39,696 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/ascentlint.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-03-06 23:02:39,697 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/common_lint_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-03-06 23:02:39,698 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-03-06 23:02:39,698 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/parse-lint-report.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-03-06 23:02:39,699 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/rules.vbl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-03-06 23:02:39,700 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-03-06 23:02:39,700 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/comportable.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-03-06 23:02:39,701 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/parse-lint-report.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-03-06 23:02:39,702 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/ascentlint-config.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-03-06 23:02:39,703 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/common.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-03-06 23:02:39,704 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-03-06 23:02:39,704 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/parse-lint-report.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-03-06 23:02:39,705 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/common.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-03-06 23:02:39,706 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/comportable.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-03-06 23:02:39,707 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/comportable.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-03-06 23:02:39,707 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc 2024-03-06 23:02:39,708 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc 2024-03-06 23:02:39,709 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip 2024-03-06 23:02:39,709 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:39,710 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:39,711 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:39,711 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:39,712 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:39,713 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:39,714 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:39,715 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:39,715 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:39,716 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-03-06 23:02:39,717 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_wrapper.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:39,718 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_attr.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:39,718 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_gating.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:39,719 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_mux2.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:39,720 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_buf.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:39,721 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:39,721 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:39,722 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:39,723 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:39,724 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:39,725 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:39,725 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:39,726 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:39,727 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_buf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:39,728 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-03-06 23:02:39,728 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:39,729 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop_en.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:39,730 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_xor2.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:39,731 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_buf.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-03-06 23:02:39,732 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,732 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_div.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,733 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,734 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_inv.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,735 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,735 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,736 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_buf.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,737 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,738 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_mux2.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,739 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_cipher_pkg.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,739 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_scr.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,740 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_buf.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,741 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_en.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,742 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_2p.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,742 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,743 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,744 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_wrapper.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,745 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_2sync.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,746 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,746 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flash.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,747 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,748 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_gating.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,749 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_usb_diff_rx.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,749 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,750 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_adv.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,751 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,752 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_rom.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,753 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_otp.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,753 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_attr.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,754 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_div.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,755 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-03-06 23:02:39,756 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_subreg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,757 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv 2024-03-06 23:02:39,757 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-03-06 23:02:39,758 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-03-06 23:02:39,759 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl 2024-03-06 23:02:39,759 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl 2024-03-06 23:02:39,760 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-03-06 23:02:39,761 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp 2024-03-06 23:02:39,762 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp 2024-03-06 23:02:39,762 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_buf.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,763 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,764 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lfsr.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,765 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_usb_diff_rx.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,765 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_scr.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,766 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,767 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sync.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,768 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_multibit_sync.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,769 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util 2024-03-06 23:02:39,769 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util 2024-03-06 23:02:39,770 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-03-06 23:02:39,771 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/abstract_prim.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-03-06 23:02:39,772 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-03-06 23:02:39,772 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.core.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-03-06 23:02:39,773 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-03-06 23:02:39,774 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-03-06 23:02:39,775 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-03-06 23:02:39,775 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-03-06 23:02:39,776 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_modules.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-03-06 23:02:39,777 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax_test.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-03-06 23:02:39,778 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/BUILD -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-03-06 23:02:39,778 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-03-06 23:02:39,779 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_tree.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-03-06 23:02:39,780 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,781 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,782 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_secded.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,782 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_adv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,783 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sender.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,784 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,785 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_mux2.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,785 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_attr.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,786 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,787 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_en.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,788 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flash.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,789 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,789 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,790 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_dom_and_2share.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,791 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_get_scramble_params.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,792 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_adv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,792 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,793 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,794 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv 2024-03-06 23:02:39,795 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-03-06 23:02:39,795 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-03-06 23:02:39,796 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb 2024-03-06 23:02:39,797 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb/prim_lfsr_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb 2024-03-06 23:02:39,798 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-03-06 23:02:39,798 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-03-06 23:02:39,799 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-03-06 23:02:39,800 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-03-06 23:02:39,801 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-03-06 23:02:39,801 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-03-06 23:02:39,802 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb 2024-03-06 23:02:39,803 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb 2024-03-06 23:02:39,803 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-03-06 23:02:39,804 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data 2024-03-06 23:02:39,805 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data 2024-03-06 23:02:39,806 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:39,806 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:39,807 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:39,808 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:39,809 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:39,810 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:39,810 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-03-06 23:02:39,811 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-03-06 23:02:39,812 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-03-06 23:02:39,813 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-03-06 23:02:39,813 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-03-06 23:02:39,814 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr 2024-03-06 23:02:39,815 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-03-06 23:02:39,816 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-03-06 23:02:39,816 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-03-06 23:02:39,817 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-03-06 23:02:39,818 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-03-06 23:02:39,819 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-03-06 23:02:39,819 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb 2024-03-06 23:02:39,820 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb/prim_present_tb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb 2024-03-06 23:02:39,821 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data 2024-03-06 23:02:39,821 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data/prim_present_cover.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data 2024-03-06 23:02:39,822 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-03-06 23:02:39,823 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:39,824 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:39,824 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:39,825 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/comline.inc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:39,826 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:39,827 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/verbose.inc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:39,828 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/present.inc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:39,828 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/boxes.inc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-03-06 23:02:39,830 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,830 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_adv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,831 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_adv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,832 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,832 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,833 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,834 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,835 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subst_perm.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,836 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,836 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,837 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,838 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_ppc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,839 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_prince.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,840 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_get_scramble_params.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,840 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_yosys_macros.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,841 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_shadow.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,842 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_receiver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,843 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_gating_sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,844 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,844 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_standard_macros.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,845 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,846 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_async_adv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,847 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_otp_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,847 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pulse_sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,848 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,849 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_sender.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,850 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,851 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_edn_req.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,851 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,852 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,853 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_ext.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,854 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer_fifo.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,854 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_arb.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,855 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_present.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,856 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_scr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,857 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,858 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sender.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,858 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gf_mult.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,859 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,860 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_async.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,861 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_memload.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,862 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,862 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_receiver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,863 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,864 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,865 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,866 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_dom_and_2share.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,866 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_slow_fast.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,867 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack_data.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,868 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,869 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,870 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_dummy_macros.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,870 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_intr_hw.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,871 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_msb_extend.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,872 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,873 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_slicer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,873 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,874 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,875 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_adv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,876 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_sender.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,877 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,877 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sram_arbiter.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,878 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_diff_decode.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,879 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,880 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pad_wrapper_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,881 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,881 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_multibit_sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,882 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_dec.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,883 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gate_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,884 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_fixed.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,884 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter_ctr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,885 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,886 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_div.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,887 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_cipher_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,888 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_tree.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,888 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lfsr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,889 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,890 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_keccak.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,891 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_enc.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-03-06 23:02:39,892 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_assert.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,892 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_gf_mult.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,893 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_arbiter.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,894 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_memload.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,895 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_edn_req.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,895 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_msb_extend.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,896 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,897 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_gating.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,898 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_async_adv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,898 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,899 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/primgen.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,900 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_diff_decode.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,901 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_fifo.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,902 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_buf.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,902 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,903 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,904 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_alert.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,905 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_xor2.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,906 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,906 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_39_32_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,907 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_72_64_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,908 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_packer_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,909 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_ppc_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,909 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,910 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_keccak_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,911 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,912 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,912 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_lfsr_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,913 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,914 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,915 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_lfsr_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,916 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,916 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,917 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,918 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,919 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,920 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,920 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_fixed_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,921 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,922 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,923 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,923 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_packer_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,924 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,925 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,926 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_ppc_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,927 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_keccak_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,927 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,928 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,929 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,930 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,931 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,931 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,932 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,933 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,934 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,934 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,935 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,936 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,937 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,938 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,938 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,939 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_tree_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,940 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-03-06 23:02:39,941 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_fifo_sync_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,941 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,942 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_22_16_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,943 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,944 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,944 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,945 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,946 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,947 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,948 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,949 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,949 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,950 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,951 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,952 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,952 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,953 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-03-06 23:02:39,954 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_64_57_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,955 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,956 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,956 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_fixed_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,957 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_esc_rxtx_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,958 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_tree_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,959 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_28_22_fpv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-03-06 23:02:39,959 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,960 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_adv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,961 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,962 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,962 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_2sync.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,963 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:39,964 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_present.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:39,965 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:39,965 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_prince.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:39,966 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_lfsr.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:39,967 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_keccak.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:39,968 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer_fifo.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:39,969 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_flash.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-03-06 23:02:39,969 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_dec.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,970 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_esc.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,971 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_inv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-03-06 23:02:39,972 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:39,973 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,973 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,974 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,975 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,976 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,976 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,977 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,978 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,979 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,979 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,980 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,981 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,982 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,983 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,983 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.waiver -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,984 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,985 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-03-06 23:02:39,986 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_wrapper.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:39,986 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_usb_diff_rx.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:39,987 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_2p.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:39,988 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_inv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:39,989 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_2sync.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:39,990 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flash.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:39,990 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_mux2.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:39,991 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_rom.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:39,992 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:39,993 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_en.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:39,993 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_mux2.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:39,994 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_attr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:39,995 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_otp.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:39,996 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:39,997 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_xor2.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:39,997 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_1p.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:39,998 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:39,999 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_2p.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:40,000 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:40,001 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_2sync.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:40,001 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_buf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:40,002 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_gating.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:40,003 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:40,004 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_inv.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:40,004 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash_bank.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:40,005 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_rom.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:40,006 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_buf.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-03-06 23:02:40,007 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:40,007 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_en.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:40,008 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_attr.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:40,009 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_gating.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:40,010 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_xor2.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:40,011 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_1p.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:40,011 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_otp.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:40,012 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_buf.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:40,013 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_buf.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-03-06 23:02:40,014 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util 2024-03-06 23:02:40,015 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,015 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Scheduler.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,016 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LsfLauncher.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,017 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LauncherFactory.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,017 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SynCfg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,018 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Deploy.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,019 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,020 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LintCfg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,021 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgJson.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,021 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Testplan.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,022 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FormalCfg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,023 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Launcher.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,024 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/style.css -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,025 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/testplanner.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,025 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FlowCfg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,026 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Timer.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,027 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,028 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/dvsim.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,029 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils_test.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,029 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/OneShotCfg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,030 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LocalLauncher.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,031 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimResults.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,032 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimCfg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,033 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Modes.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,034 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgFactory.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,034 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/StatusPrinter.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,035 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,036 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/sim_utils.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-03-06 23:02:40,037 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc 2024-03-06 23:02:40,037 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc/testplanner.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc 2024-03-06 23:02:40,038 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples 2024-03-06 23:02:40,039 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-03-06 23:02:40,039 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_dv_doc.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-03-06 23:02:40,040 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-03-06 23:02:40,041 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/common_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-03-06 23:02:40,042 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_sim_results.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-03-06 23:02:40,043 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,043 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_pkg.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,044 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/index.md.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,045 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/driver.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,046 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/uvmdvgen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,046 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_env.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,047 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cov.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,048 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,049 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_pkg.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,049 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/bind.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,050 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/smoke_vseq.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,051 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/testplan.hjson.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,052 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cov.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,052 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.core.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,053 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/scoreboard.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,054 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/item.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,055 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/common_vseq.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,056 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test_pkg.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,056 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/device_driver.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,057 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cfg.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,058 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/cov_excl.el.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,059 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim.core.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,059 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sva.core.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,060 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/virtual_sequencer.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,061 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.core.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,062 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_vseq.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,063 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,063 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,064 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/vseq_list.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,065 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cfg.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,066 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/tb.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,066 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_test.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,067 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_agent.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,068 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/if.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,069 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,069 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim_cfg.hjson.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,070 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/monitor.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,071 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_seq.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,072 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,073 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/checklist.md.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,074 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/seq_list.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,074 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test.core.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,075 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/host_driver.sv.tpl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-03-06 23:02:40,076 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv 2024-03-06 23:02:40,077 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv 2024-03-06 23:02:40,077 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:40,078 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:40,079 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:40,079 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:40,080 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:40,081 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_report_server.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:40,082 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:40,082 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:40,083 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:40,084 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_vif_wrap.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:40,085 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:40,086 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-03-06 23:02:40,086 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:40,087 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_map.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:40,088 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:40,089 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_field.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:40,089 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/csr_excl_item.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:40,090 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_block.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:40,091 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:40,092 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:40,093 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_mem.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-03-06 23:02:40,093 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-03-06 23:02:40,094 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-03-06 23:02:40,095 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-03-06 23:02:40,096 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-03-06 23:02:40,096 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-03-06 23:02:40,097 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:40,098 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:40,099 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:40,099 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/common_ifs.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:40,100 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:40,101 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_ifs.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:40,102 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_rst_if.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:40,103 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/index.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-03-06 23:02:40,103 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-03-06 23:02:40,104 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-03-06 23:02:40,105 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-03-06 23:02:40,106 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_seq_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-03-06 23:02:40,106 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-03-06 23:02:40,107 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-03-06 23:02:40,108 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-03-06 23:02:40,109 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-03-06 23:02:40,109 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-03-06 23:02:40,110 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,111 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,112 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,113 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_monitor.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,113 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_driver.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,114 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,115 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cov.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,116 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_virtual_sequencer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,116 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,117 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,118 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_vseq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,119 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,120 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cov.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,120 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,121 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_scoreboard.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,122 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,123 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_sequencer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,123 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-03-06 23:02:40,124 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-03-06 23:02:40,125 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-03-06 23:02:40,126 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/xcelium.ccf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-03-06 23:02:40,126 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/exclude.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-03-06 23:02:40,127 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_report.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-03-06 23:02:40,128 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_merge.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-03-06 23:02:40,129 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/unr.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-03-06 23:02:40,129 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/waves.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-03-06 23:02:40,130 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-03-06 23:02:40,131 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover_reg_top.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-03-06 23:02:40,132 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/common_cov_excl.el -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-03-06 23:02:40,132 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/xprop.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-03-06 23:02:40,133 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-03-06 23:02:40,134 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/unr.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-03-06 23:02:40,135 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-03-06 23:02:40,135 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-03-06 23:02:40,136 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-03-06 23:02:40,137 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-03-06 23:02:40,138 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:40,138 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/dsim.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:40,139 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_modes.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:40,140 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/verilator.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:40,141 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:40,141 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/csr_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:40,142 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/enable_reg_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:40,143 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/alert_test_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:40,144 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:40,145 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:40,146 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/intr_test_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:40,146 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/mem_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:40,147 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:40,148 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-03-06 23:02:40,149 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:40,149 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/alert_test.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:40,150 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:40,151 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/stress_tests.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:40,152 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/csr_tests.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:40,153 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/mem_tests.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:40,153 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/tl_access_tests.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:40,154 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/intr_test.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-03-06 23:02:40,155 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/xcelium.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:40,156 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/fusesoc.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:40,156 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/riviera.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:40,157 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/sim.mk -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:40,158 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_sim_cfg.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:40,159 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/vcs.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-03-06 23:02:40,160 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/sim.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-03-06 23:02:40,160 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera 2024-03-06 23:02:40,161 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera/riviera_run.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera 2024-03-06 23:02:40,162 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-03-06 23:02:40,163 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/common.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-03-06 23:02:40,163 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-03-06 23:02:40,164 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-03-06 23:02:40,165 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-03-06 23:02:40,166 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator 2024-03-06 23:02:40,166 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/simutil_verilator.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator 2024-03-06 23:02:40,167 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-03-06 23:02:40,168 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-03-06 23:02:40,168 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-03-06 23:02:40,169 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-03-06 23:02:40,170 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-03-06 23:02:40,171 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-03-06 23:02:40,171 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_verilator.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-03-06 23:02:40,172 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled_opts.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-03-06 23:02:40,173 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-03-06 23:02:40,174 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,174 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ranged_map.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,175 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,176 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,177 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,178 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,178 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,179 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,180 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,181 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,182 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,182 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,183 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,184 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-03-06 23:02:40,185 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark.lock.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-03-06 23:02:40,185 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.lock.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-03-06 23:02:40,186 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,187 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/run.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,188 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,189 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,189 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_exception_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,190 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_asm_program_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,191 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_debug_rom_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,192 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_loop_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,193 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,193 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64b_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,194 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_compressed_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,195 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64m_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,196 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv128c_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,197 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64i_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,197 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64f_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,198 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_b_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,199 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_floating_point_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,200 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32a_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,200 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64a_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,201 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32m_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,202 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32i_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,203 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr_cov.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,204 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32fc_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,204 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,205 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32dc_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,206 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32c_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,207 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32v_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,207 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_vector_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,208 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32b_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,209 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-03-06 23:02:40,210 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv64x_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-03-06 23:02:40,211 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv32x_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-03-06 23:02:40,211 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-03-06 23:02:40,212 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr_enum.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-03-06 23:02:40,213 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32f_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,214 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64c_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,214 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32d_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,215 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64d_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,216 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_amo_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-03-06 23:02:40,217 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_cover_group.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,218 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_callstack_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,218 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/dv_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,219 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_defines.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,220 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_signature_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,221 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_illegal_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,222 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_reg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,222 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privil_reg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,223 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,224 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_stream.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,225 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_amo_instr_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,226 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pmp_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,226 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_load_store_instr_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,227 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privileged_common_seq.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,228 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_list.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,229 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_data_page_gen.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,230 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pseudo_instr.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,230 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_vector_cfg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,231 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_gen_config.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,232 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_entry.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,233 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_directed_instr_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,234 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_sequence.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-03-06 23:02:40,234 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/files.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,235 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target 2024-03-06 23:02:40,236 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-03-06 23:02:40,236 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-03-06 23:02:40,237 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-03-06 23:02:40,238 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-03-06 23:02:40,239 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-03-06 23:02:40,239 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-03-06 23:02:40,240 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-03-06 23:02:40,241 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-03-06 23:02:40,242 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-03-06 23:02:40,242 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-03-06 23:02:40,243 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-03-06 23:02:40,244 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-03-06 23:02:40,245 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-03-06 23:02:40,245 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-03-06 23:02:40,246 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-03-06 23:02:40,247 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-03-06 23:02:40,248 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-03-06 23:02:40,248 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-03-06 23:02:40,249 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-03-06 23:02:40,250 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-03-06 23:02:40,251 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-03-06 23:02:40,251 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-03-06 23:02:40,252 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-03-06 23:02:40,253 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-03-06 23:02:40,254 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-03-06 23:02:40,254 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-03-06 23:02:40,255 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-03-06 23:02:40,256 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-03-06 23:02:40,257 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-03-06 23:02:40,257 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-03-06 23:02:40,258 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-03-06 23:02:40,259 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-03-06 23:02:40,260 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-03-06 23:02:40,260 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-03-06 23:02:40,261 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-03-06 23:02:40,262 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-03-06 23:02:40,262 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-03-06 23:02:40,263 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-03-06 23:02:40,264 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-03-06 23:02:40,265 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-03-06 23:02:40,265 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-03-06 23:02:40,266 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscv_core_setting.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-03-06 23:02:40,267 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-03-06 23:02:40,268 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.flake8 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,268 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/vcs.compile.option.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,269 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/qrun_option.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,270 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-03-06 23:02:40,271 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/exclude_filelist.f -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-03-06 23:02:40,271 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/build-verible.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-03-06 23:02:40,272 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/run.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-03-06 23:02:40,273 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,274 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/riviera_sim.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,274 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-03-06 23:02:40,275 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_init.s -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-03-06 23:02:40,276 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_extension.svh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-03-06 23:02:40,276 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_define.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-03-06 23:02:40,277 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.travis.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,278 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,279 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,280 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/LICENSE.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,280 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/requirements.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,281 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/MANIFEST.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,282 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/cov.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,283 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.metrics.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,283 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/questa_sim.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,284 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen 2024-03-06 23:02:40,285 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,286 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_stream.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,286 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_asm_program_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,287 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target 2024-03-06 23:02:40,288 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-03-06 23:02:40,289 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscv_core_setting.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-03-06 23:02:40,289 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-03-06 23:02:40,290 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-03-06 23:02:40,291 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscv_core_setting.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-03-06 23:02:40,292 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-03-06 23:02:40,293 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-03-06 23:02:40,293 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscv_core_setting.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-03-06 23:02:40,294 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-03-06 23:02:40,295 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-03-06 23:02:40,295 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscv_core_setting.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-03-06 23:02:40,296 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-03-06 23:02:40,297 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-03-06 23:02:40,298 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscv_core_setting.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-03-06 23:02:40,298 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscvOVPsim.ic -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-03-06 23:02:40,299 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_pkg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,300 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_utils.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,301 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_sequence.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,302 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privil_reg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,302 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_directed_instr_lib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,303 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_cover_group.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,304 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,305 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32f_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,306 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_compressed_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,306 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32a_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,307 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32d_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,308 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_b_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,309 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32dc_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,310 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32i_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,310 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32m_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,311 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_cov_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,312 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_amo_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,313 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,314 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32fc_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,314 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32c_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,315 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32b_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,316 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_floating_point_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-03-06 23:02:40,317 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_amo_instr_lib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,318 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privileged_common_seq.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,318 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_defines.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,319 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_load_store_instr_lib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,320 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_gen_config.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,321 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_reg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,322 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_data_page_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,322 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_pseudo_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,323 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_signature_pkg.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,324 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-03-06 23:02:40,325 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_base_test.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-03-06 23:02:40,325 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_cov_test.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-03-06 23:02:40,326 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_rand_instr_test.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-03-06 23:02:40,327 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_illegal_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-03-06 23:02:40,328 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:40,328 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_stream.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:40,329 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_asm_program_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:40,330 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_sequence.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:40,331 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_callstack_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:40,332 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_directed_instr_lib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:40,332 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_load_store_instr_lib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:40,333 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_rand_instr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:40,334 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_base.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:40,335 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/utils.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:40,336 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_data_page_gen.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:40,337 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-03-06 23:02:40,337 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,338 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-03-06 23:02:40,339 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:40,340 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_gen_tb_top.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:40,340 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_cov_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:40,341 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_pkg.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:40,342 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_base_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:40,343 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:40,343 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_lib.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-03-06 23:02:40,344 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-03-06 23:02:40,345 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/base_testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-03-06 23:02:40,346 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/iss.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-03-06 23:02:40,346 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/csr_template.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-03-06 23:02:40,347 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/cov_testlist.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-03-06 23:02:40,348 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/simulator.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-03-06 23:02:40,349 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-03-06 23:02:40,350 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,350 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,351 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/class_reference.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,352 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/coverage_model.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,352 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/handshake.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,353 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/generator_flow.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,354 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/customize_extend_generator.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,355 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/conf.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,356 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/configuration.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,356 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/getting_started.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,357 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/extension_support.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,358 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/appendix.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,359 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/overview.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,359 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/trace_csv.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,360 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/cmd_line_reference.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,361 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/end_to_end_simulation.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-03-06 23:02:40,362 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-03-06 23:02:40,363 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/make.bat -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-03-06 23:02:40,364 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,364 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/gen_csr_test.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,365 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/genMetricsList.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,366 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/riscv_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,367 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/metrics-regress.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,367 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-03-06 23:02:40,368 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/riscv_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-03-06 23:02:40,369 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/ovpsim_log_to_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-03-06 23:02:40,370 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/instr_trace_compare.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-03-06 23:02:40,370 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/spike_log_to_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-03-06 23:02:40,371 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,372 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/whisper_log_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,373 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/ovpsim_log_to_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,374 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/lib.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,374 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/sail_log_to_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,375 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/instr_trace_compare.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,376 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/check-status -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,377 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/spike_log_to_trace_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-03-06 23:02:40,378 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github 2024-03-06 23:02:40,378 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows 2024-03-06 23:02:40,379 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows/metrics-regress.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows 2024-03-06 23:02:40,379 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.vendor.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-03-06 23:02:40,380 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:40,381 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-03-06 23:02:40,382 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-03-06 23:02:40,382 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-03-06 23:02:40,383 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-03-06 23:02:40,384 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.md5 -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:40,385 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-03-06 23:02:40,385 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-03-06 23:02:40,386 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/cvt.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-03-06 23:02:40,387 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-03-06 23:02:40,388 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-03-06 23:02:40,388 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/ee_printf.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-03-06 23:02:40,389 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-03-06 23:02:40,390 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-03-06 23:02:40,391 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-03-06 23:02:40,391 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-03-06 23:02:40,392 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-03-06 23:02:40,393 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-03-06 23:02:40,394 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-03-06 23:02:40,394 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-03-06 23:02:40,395 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-03-06 23:02:40,396 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-03-06 23:02:40,397 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-03-06 23:02:40,397 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-03-06 23:02:40,398 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/LICENSE.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:40,399 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:40,400 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_main.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:40,401 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_state.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:40,401 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_util.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:40,402 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-03-06 23:02:40,403 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-03-06 23:02:40,404 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-03-06 23:02:40,404 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-03-06 23:02:40,405 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_list_join.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:40,406 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_matrix.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:40,407 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:40,408 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-03-06 23:02:40,408 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html 2024-03-06 23:02:40,409 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:40,410 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-03-06 23:02:40,410 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-h.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-03-06 23:02:40,411 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-mak.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-03-06 23:02:40,412 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-c.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-03-06 23:02:40,413 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_state-c.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:40,414 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32 2024-03-06 23:02:40,414 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32/core_portme-mak.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32 2024-03-06 23:02:40,415 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_util-c.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:40,416 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_list_join-c.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:40,417 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_matrix-c.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:40,417 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/readme-txt.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:40,418 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/release_notes-txt.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:40,419 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/coremark-h.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:40,420 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_main-c.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-03-06 23:02:40,421 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs 2024-03-06 23:02:40,421 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs/core_state.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs 2024-03-06 23:02:40,422 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-03-06 23:02:40,423 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/2.css -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-03-06 23:02:40,424 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/main.css -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-03-06 23:02:40,424 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/1.css -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-03-06 23:02:40,425 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:40,426 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:40,427 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Functions.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:40,428 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Files.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:40,428 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General2.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:40,429 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Types.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:40,430 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configuration.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:40,431 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/BuildTargets.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:40,432 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Variables.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:40,432 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configurations.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-03-06 23:02:40,433 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html 2024-03-06 23:02:40,434 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-03-06 23:02:40,435 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/searchdata.js -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-03-06 23:02:40,436 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/main.js -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-03-06 23:02:40,437 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,437 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesP.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,438 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralB.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,439 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsC.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,439 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationC.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,440 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationS.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,441 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralT.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,442 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralI.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,443 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesO.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,443 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesR.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,444 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/NoResults.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,445 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralP.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,446 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsT.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,447 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesD.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,447 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsH.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,448 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralF.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,449 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralG.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,450 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralM.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,451 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/BuildTargetsP.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,451 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsS.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,452 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralO.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,453 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralS.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,454 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsG.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,454 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsT.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,455 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralD.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,456 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralU.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,457 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralV.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,458 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsP.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,458 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationU.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,459 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralR.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,460 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesR.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,461 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralW.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,462 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsM.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,462 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralH.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,463 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesS.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,464 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralC.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,465 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsS.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,465 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesC.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,466 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesC.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,467 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesL.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,468 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationH.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,468 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsI.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,469 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationT.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,470 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationM.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,471 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsM.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,472 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/TypesS.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,472 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralL.html -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-03-06 23:02:40,473 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/balance_O0_joined.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-03-06 23:02:40,474 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/READM.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-03-06 23:02:40,475 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/coremark_profile_o0_joined.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-03-06 23:02:40,476 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-03-06 23:02:40,477 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.lock.hjson -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-03-06 23:02:40,478 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_top_tracing.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:40,479 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-03-06 23:02:40,479 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/ibex-rtl-ci-steps.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-03-06 23:02:40,480 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/vars.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-03-06 23:02:40,481 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/install-build-deps.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-03-06 23:02:40,481 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/azp-private.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-03-06 23:02:40,482 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/vars_to_logging_cmd.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-03-06 23:02:40,483 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared 2024-03-06 23:02:40,484 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/fpga_xilinx.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared 2024-03-06 23:02:40,484 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-03-06 23:02:40,485 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/bus.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-03-06 23:02:40,486 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga 2024-03-06 23:02:40,486 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx 2024-03-06 23:02:40,487 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx/clkgen_xil7series.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx 2024-03-06 23:02:40,488 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/sim 2024-03-06 23:02:40,488 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/sim/simulator_ctrl.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/sim 2024-03-06 23:02:40,489 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/timer.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-03-06 23:02:40,490 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/ram_2p.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-03-06 23:02:40,491 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/ram_1p.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-03-06 23:02:40,491 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/sim_shared.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/shared 2024-03-06 23:02:40,492 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_top.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:40,493 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:40,494 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:40,494 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/tool_requirements.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:40,495 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:40,496 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/ibex_top_abc.nangate.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:40,497 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.do -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:40,497 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/ibex_top.nangate.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:40,498 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/syn_setup.example.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:40,499 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/translate_timing_rpts.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:40,500 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/syn_yosys.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:40,500 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/ibex_top_lr_synth_conf.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:40,501 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-03-06 23:02:40,502 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl/latch_map.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-03-06 23:02:40,502 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl/prim_clock_gating.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-03-06 23:02:40,503 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:40,504 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-03-06 23:02:40,505 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:40,505 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/flow_utils.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:40,506 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_post_synth.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:40,507 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/sta_utils.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:40,508 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/lr_synth_flow_var_setup.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:40,508 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/sta_run_reports.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:40,509 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_pre_map.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:40,510 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_run_synth.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:40,511 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_common.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:40,512 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/sta_common.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:40,512 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/sta_open_design.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-03-06 23:02:40,513 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-03-06 23:02:40,514 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/python/build_translated_names.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-03-06 23:02:40,514 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/python/get_kge.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-03-06 23:02:40,515 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/python/translate_timing_csv.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-03-06 23:02:40,516 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/python/flow_utils.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-03-06 23:02:40,517 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_pkg.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:40,518 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog 2024-03-06 23:02:40,518 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:40,519 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:40,520 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-03-06 23:02:40,520 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-03-06 23:02:40,521 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer/concierge.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-03-06 23:02:40,522 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-03-06 23:02:40,522 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-03-06 23:02:40,523 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/examples.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-03-06 23:02:40,524 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/system_requirements.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-03-06 23:02:40,525 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/getting_started.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-03-06 23:02:40,525 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/integration.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-03-06 23:02:40,526 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:40,527 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,528 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,528 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/register_file.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,529 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/load_store_unit.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,530 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/exception_interrupts.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,531 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_decode_execute.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,532 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/cs_registers.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,533 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/security.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,533 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:40,534 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/blockdiagram.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:40,535 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/if_stage.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:40,536 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/logo.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:40,536 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_mux.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:40,537 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/de_ex_stage.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:40,538 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_block.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:40,539 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/dv-flow.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:40,540 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/tb.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-03-06 23:02:40,543 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/rvfi.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,543 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/verification.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,544 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/debug.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,545 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/tracer.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,546 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/pmp.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,547 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/pipeline_details.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,548 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/icache.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,548 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/cosim.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,549 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/performance_counters.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,550 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/history.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,551 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_fetch.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-03-06 23:02:40,552 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/conf.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:40,553 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-03-06 23:02:40,553 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview/index.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-03-06 23:02:40,554 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview/licensing.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-03-06 23:02:40,555 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview/targets.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-03-06 23:02:40,556 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview/compliance.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-03-06 23:02:40,557 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/requirements.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:40,558 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/_static 2024-03-06 23:02:40,558 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/_static/theme_overrides.css -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc/_static 2024-03-06 23:02:40,559 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:40,560 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/make.bat -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-03-06 23:02:40,561 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples 2024-03-06 23:02:40,561 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga 2024-03-06 23:02:40,562 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-03-06 23:02:40,562 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/top_artya7.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-03-06 23:02:40,563 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-03-06 23:02:40,564 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_setup_hooks.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-03-06 23:02:40,565 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_hook_write_bitstream_pre.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-03-06 23:02:40,566 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl 2024-03-06 23:02:40,566 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl/top_artya7.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl 2024-03-06 23:02:40,567 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data 2024-03-06 23:02:40,568 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data/pins_artya7.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data 2024-03-06 23:02:40,568 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-03-06 23:02:40,569 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw 2024-03-06 23:02:40,570 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw 2024-03-06 23:02:40,571 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system 2024-03-06 23:02:40,571 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:40,572 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:40,573 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_regs.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:40,573 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:40,574 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/common.mk -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:40,575 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/crt0.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:40,576 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-03-06 23:02:40,577 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-03-06 23:02:40,577 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/hello_test.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-03-06 23:02:40,578 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-03-06 23:02:40,579 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks 2024-03-06 23:02:40,580 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark 2024-03-06 23:02:40,580 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-03-06 23:02:40,581 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-03-06 23:02:40,582 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.mak -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-03-06 23:02:40,582 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-03-06 23:02:40,583 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/ee_printf.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-03-06 23:02:40,584 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark 2024-03-06 23:02:40,585 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks 2024-03-06 23:02:40,586 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-03-06 23:02:40,586 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led/led.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-03-06 23:02:40,587 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-03-06 23:02:40,588 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led/crt0.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-03-06 23:02:40,588 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-03-06 23:02:40,589 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:40,590 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:40,591 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-03-06 23:02:40,591 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verible_waiver.vbw -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-03-06 23:02:40,592 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verilator_waiver.vlt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-03-06 23:02:40,593 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_main.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:40,594 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_core.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:40,594 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:40,595 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl 2024-03-06 23:02:40,596 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl/ibex_simple_system.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl 2024-03-06 23:02:40,597 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/spike-simple-system.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:40,597 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:40,598 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-03-06 23:02:40,599 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github 2024-03-06 23:02:40,600 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:40,600 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/question.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:40,601 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/bug.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-03-06 23:02:40,602 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-03-06 23:02:40,602 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows/pr_trigger.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-03-06 23:02:40,603 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows/pr_lint_review.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-03-06 23:02:40,604 root INFO running install_egg_info 2024-03-06 23:02:40,622 root INFO Copying pythondata_cpu_ibex.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex-0.0.post2214-py3.11.egg-info 2024-03-06 23:02:40,627 root INFO running install_scripts 2024-03-06 23:02:40,636 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_ibex-0.0.post2214.dist-info/WHEEL 2024-03-06 23:02:40,639 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-3t2eobij/pythondata_cpu_ibex-0.0.post2214-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:02:40,641 wheel INFO adding 'pythondata_cpu_ibex/__init__.py' 2024-03-06 23:02:40,646 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.clang-format' 2024-03-06 23:02:40,647 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.gitignore' 2024-03-06 23:02:40,648 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.svlint.toml' 2024-03-06 23:02:40,649 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/CONTRIBUTING.md' 2024-03-06 23:02:40,650 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/CREDITS.md' 2024-03-06 23:02:40,651 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/LICENSE' 2024-03-06 23:02:40,653 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/Makefile' 2024-03-06 23:02:40,654 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/README.md' 2024-03-06 23:02:40,655 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/azure-pipelines.yml' 2024-03-06 23:02:40,656 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/check_tool_requirements.core' 2024-03-06 23:02:40,657 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_configs.yaml' 2024-03-06 23:02:40,658 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_core.core' 2024-03-06 23:02:40,659 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_icache.core' 2024-03-06 23:02:40,660 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_multdiv.core' 2024-03-06 23:02:40,661 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_pkg.core' 2024-03-06 23:02:40,662 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_top.core' 2024-03-06 23:02:40,663 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_top_tracing.core' 2024-03-06 23:02:40,664 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_tracer.core' 2024-03-06 23:02:40,665 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/python-requirements.txt' 2024-03-06 23:02:40,666 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/src_files.yml' 2024-03-06 23:02:40,667 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/tool_requirements.py' 2024-03-06 23:02:40,668 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/bug.md' 2024-03-06 23:02:40,669 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/question.md' 2024-03-06 23:02:40,670 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.github/workflows/pr_lint_review.yml' 2024-03-06 23:02:40,671 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.github/workflows/pr_trigger.yml' 2024-03-06 23:02:40,672 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/azp-private.yml' 2024-03-06 23:02:40,673 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/ibex-rtl-ci-steps.yml' 2024-03-06 23:02:40,674 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/install-build-deps.sh' 2024-03-06 23:02:40,675 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/vars.yml' 2024-03-06 23:02:40,676 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/vars_to_logging_cmd.py' 2024-03-06 23:02:40,677 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/.gitignore' 2024-03-06 23:02:40,678 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/Makefile' 2024-03-06 23:02:40,679 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/conf.py' 2024-03-06 23:02:40,680 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/index.rst' 2024-03-06 23:02:40,681 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/make.bat' 2024-03-06 23:02:40,682 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/requirements.txt' 2024-03-06 23:02:40,683 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/01_overview/compliance.rst' 2024-03-06 23:02:40,684 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/01_overview/index.rst' 2024-03-06 23:02:40,685 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/01_overview/licensing.rst' 2024-03-06 23:02:40,686 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/01_overview/targets.rst' 2024-03-06 23:02:40,687 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/examples.rst' 2024-03-06 23:02:40,688 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/getting_started.rst' 2024-03-06 23:02:40,689 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/index.rst' 2024-03-06 23:02:40,690 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/integration.rst' 2024-03-06 23:02:40,691 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/system_requirements.rst' 2024-03-06 23:02:40,692 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/cosim.rst' 2024-03-06 23:02:40,693 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/cs_registers.rst' 2024-03-06 23:02:40,695 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/debug.rst' 2024-03-06 23:02:40,696 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/exception_interrupts.rst' 2024-03-06 23:02:40,697 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/history.rst' 2024-03-06 23:02:40,698 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/icache.rst' 2024-03-06 23:02:40,699 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/index.rst' 2024-03-06 23:02:40,700 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_decode_execute.rst' 2024-03-06 23:02:40,701 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_fetch.rst' 2024-03-06 23:02:40,702 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/load_store_unit.rst' 2024-03-06 23:02:40,703 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/performance_counters.rst' 2024-03-06 23:02:40,705 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/pipeline_details.rst' 2024-03-06 23:02:40,706 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/pmp.rst' 2024-03-06 23:02:40,707 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/register_file.rst' 2024-03-06 23:02:40,708 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/rvfi.rst' 2024-03-06 23:02:40,709 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/security.rst' 2024-03-06 23:02:40,710 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/tracer.rst' 2024-03-06 23:02:40,711 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/verification.rst' 2024-03-06 23:02:40,712 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/blockdiagram.svg' 2024-03-06 23:02:40,714 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/de_ex_stage.svg' 2024-03-06 23:02:40,716 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/dv-flow.png' 2024-03-06 23:02:40,718 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_block.svg' 2024-03-06 23:02:40,720 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_mux.svg' 2024-03-06 23:02:40,722 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/if_stage.svg' 2024-03-06 23:02:40,723 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/logo.svg' 2024-03-06 23:02:40,727 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/tb.svg' 2024-03-06 23:02:40,740 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/04_developer/concierge.rst' 2024-03-06 23:02:40,741 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/04_developer/index.rst' 2024-03-06 23:02:40,742 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/_static/theme_overrides.css' 2024-03-06 23:02:40,743 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.core' 2024-03-06 23:02:40,744 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.h' 2024-03-06 23:02:40,745 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.cc' 2024-03-06 23:02:40,746 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.core' 2024-03-06 23:02:40,747 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.h' 2024-03-06 23:02:40,748 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.svh' 2024-03-06 23:02:40,749 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.cc' 2024-03-06 23:02:40,751 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.h' 2024-03-06 23:02:40,752 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/Makefile' 2024-03-06 23:02:40,753 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/README.md' 2024-03-06 23:02:40,754 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb_cs_registers.core' 2024-03-06 23:02:40,755 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.cc' 2024-03-06 23:02:40,756 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.sv' 2024-03-06 23:02:40,757 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.cc' 2024-03-06 23:02:40,758 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.h' 2024-03-06 23:02:40,759 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_types.h' 2024-03-06 23:02:40,760 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.cc' 2024-03-06 23:02:40,761 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.h' 2024-03-06 23:02:40,762 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint/verilator_waiver.vlt' 2024-03-06 23:02:40,763 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.cc' 2024-03-06 23:02:40,764 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.h' 2024-03-06 23:02:40,765 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.cc' 2024-03-06 23:02:40,766 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.h' 2024-03-06 23:02:40,767 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/csr_listing.def' 2024-03-06 23:02:40,768 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.cc' 2024-03-06 23:02:40,769 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.sv' 2024-03-06 23:02:40,770 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.cc' 2024-03-06 23:02:40,771 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.h' 2024-03-06 23:02:40,772 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.cc' 2024-03-06 23:02:40,773 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.h' 2024-03-06 23:02:40,774 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.cc' 2024-03-06 23:02:40,775 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.h' 2024-03-06 23:02:40,776 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.cc' 2024-03-06 23:02:40,777 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.sv' 2024-03-06 23:02:40,778 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.cc' 2024-03-06 23:02:40,779 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.sv' 2024-03-06 23:02:40,780 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/README.md' 2024-03-06 23:02:40,781 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.cc' 2024-03-06 23:02:40,782 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.core' 2024-03-06 23:02:40,783 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint/verilator_waiver.vlt' 2024-03-06 23:02:40,784 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/ibex_riscv_compliance.sv' 2024-03-06 23:02:40,785 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/riscv_testutil.sv' 2024-03-06 23:02:40,787 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/common_project_cfg.hjson' 2024-03-06 23:02:40,788 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/README.md' 2024-03-06 23:02:40,789 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.core' 2024-03-06 23:02:40,789 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.sv' 2024-03-06 23:02:40,791 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/Makefile' 2024-03-06 23:02:40,792 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/collect_results.py' 2024-03-06 23:02:40,793 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/compare.py' 2024-03-06 23:02:40,794 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/cover.cfg' 2024-03-06 23:02:40,795 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv.f' 2024-03-06 23:02:40,796 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv_cosim_dpi.f' 2024-03-06 23:02:40,797 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/list_tests.py' 2024-03-06 23:02:40,798 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/run_rtl.py' 2024-03-06 23:02:40,799 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim.py' 2024-03-06 23:02:40,800 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_cmd.py' 2024-03-06 23:02:40,801 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_makefrag_gen.py' 2024-03-06 23:02:40,803 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_entry.py' 2024-03-06 23:02:40,804 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_run_result.py' 2024-03-06 23:02:40,804 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/vcs.tcl' 2024-03-06 23:02:40,807 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/core_ibex_ifetch_if.sv' 2024-03-06 23:02:40,808 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent.sv' 2024-03-06 23:02:40,809 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent_pkg.sv' 2024-03-06 23:02:40,810 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_cfg.sv' 2024-03-06 23:02:40,811 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_scoreboard.sv' 2024-03-06 23:02:40,812 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_monitor.sv' 2024-03-06 23:02:40,813 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_seq_item.sv' 2024-03-06 23:02:40,814 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_monitor.sv' 2024-03-06 23:02:40,815 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_seq_item.sv' 2024-03-06 23:02:40,816 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.cc' 2024-03-06 23:02:40,817 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.svh' 2024-03-06 23:02:40,818 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf.sv' 2024-03-06 23:02:40,819 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent.core' 2024-03-06 23:02:40,820 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent_pkg.sv' 2024-03-06 23:02:40,821 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_monitor.sv' 2024-03-06 23:02:40,822 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_agent.sv' 2024-03-06 23:02:40,823 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_driver.sv' 2024-03-06 23:02:40,824 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_agent.sv' 2024-03-06 23:02:40,825 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_driver.sv' 2024-03-06 23:02:40,826 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_seq_lib.sv' 2024-03-06 23:02:40,827 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_sequencer.sv' 2024-03-06 23:02:40,828 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_seq_item.sv' 2024-03-06 23:02:40,829 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_agent_pkg.sv' 2024-03-06 23:02:40,830 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_if.sv' 2024-03-06 23:02:40,831 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_monitor.sv' 2024-03-06 23:02:40,832 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_agent.sv' 2024-03-06 23:02:40,833 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_driver.sv' 2024-03-06 23:02:40,834 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_seq_item.sv' 2024-03-06 23:02:40,835 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_buf.sv' 2024-03-06 23:02:40,836 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_clock_gating.sv' 2024-03-06 23:02:40,837 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_pkg.sv' 2024-03-06 23:02:40,838 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_ram_1p.sv' 2024-03-06 23:02:40,839 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_csr_if.sv' 2024-03-06 23:02:40,840 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_dut_probe_if.sv' 2024-03-06 23:02:40,841 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env.sv' 2024-03-06 23:02:40,842 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_cfg.sv' 2024-03-06 23:02:40,843 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_pkg.sv' 2024-03-06 23:02:40,844 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_instr_monitor_if.sv' 2024-03-06 23:02:40,845 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_rvfi_if.sv' 2024-03-06 23:02:40,846 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_vseqr.sv' 2024-03-06 23:02:40,847 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_bind.sv' 2024-03-06 23:02:40,848 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_if.sv' 2024-03-06 23:02:40,849 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/cov_testlist.yaml' 2024-03-06 23:02:40,850 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/csr_description.yaml' 2024-03-06 23:02:40,852 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_asm_program_gen.sv' 2024-03-06 23:02:40,853 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_log_to_trace_csv.py' 2024-03-06 23:02:40,854 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ml_testlist.yaml' 2024-03-06 23:02:40,855 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscvOVPsim.ic' 2024-03-06 23:02:40,856 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscv_core_setting.sv' 2024-03-06 23:02:40,857 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/testlist.yaml' 2024-03-06 23:02:40,858 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/user_extension.svh' 2024-03-06 23:02:40,860 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb/core_ibex_tb_top.sv' 2024-03-06 23:02:40,861 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_base_test.sv' 2024-03-06 23:02:40,862 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_report_server.sv' 2024-03-06 23:02:40,863 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_seq_lib.sv' 2024-03-06 23:02:40,864 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_lib.sv' 2024-03-06 23:02:40,866 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_pkg.sv' 2024-03-06 23:02:40,867 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_vseq.sv' 2024-03-06 23:02:40,868 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml/rtl_simulation.yaml' 2024-03-06 23:02:40,869 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data/ibex_icache_testplan.hjson' 2024-03-06 23:02:40,871 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/ibex_icache_dv_plan.md' 2024-03-06 23:02:40,872 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/tb.svg' 2024-03-06 23:02:40,874 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/Makefile' 2024-03-06 23:02:40,875 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim.core' 2024-03-06 23:02:40,876 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim_cfg.hjson' 2024-03-06 23:02:40,877 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.core' 2024-03-06 23:02:40,878 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.sv' 2024-03-06 23:02:40,879 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cfg.sv' 2024-03-06 23:02:40,880 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cov.sv' 2024-03-06 23:02:40,881 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_pkg.sv' 2024-03-06 23:02:40,882 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_scoreboard.sv' 2024-03-06 23:02:40,884 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_virtual_sequencer.sv' 2024-03-06 23:02:40,885 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_back_line_vseq.sv' 2024-03-06 23:02:40,886 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_base_vseq.sv' 2024-03-06 23:02:40,887 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_caching_vseq.sv' 2024-03-06 23:02:40,888 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_combo_vseq.sv' 2024-03-06 23:02:40,889 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_ecc_vseq.sv' 2024-03-06 23:02:40,890 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_invalidation_vseq.sv' 2024-03-06 23:02:40,891 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_many_errors_vseq.sv' 2024-03-06 23:02:40,892 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_oldval_vseq.sv' 2024-03-06 23:02:40,893 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_passthru_vseq.sv' 2024-03-06 23:02:40,894 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_reset_vseq.sv' 2024-03-06 23:02:40,895 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_vseq_list.sv' 2024-03-06 23:02:40,896 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/README.md' 2024-03-06 23:02:40,897 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.core' 2024-03-06 23:02:40,898 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.sv' 2024-03-06 23:02:40,899 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cfg.sv' 2024-03-06 23:02:40,900 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cov.sv' 2024-03-06 23:02:40,901 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_pkg.sv' 2024-03-06 23:02:40,902 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_bus_item.sv' 2024-03-06 23:02:40,903 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_driver.sv' 2024-03-06 23:02:40,904 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_if.sv' 2024-03-06 23:02:40,905 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_monitor.sv' 2024-03-06 23:02:40,906 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_protocol_checker.sv' 2024-03-06 23:02:40,907 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_req_item.sv' 2024-03-06 23:02:40,908 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_rsp_item.sv' 2024-03-06 23:02:40,909 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_sequencer.sv' 2024-03-06 23:02:40,910 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_back_line_seq.sv' 2024-03-06 23:02:40,911 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_base_seq.sv' 2024-03-06 23:02:40,912 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_seq_list.sv' 2024-03-06 23:02:40,914 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/README.md' 2024-03-06 23:02:40,914 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.core' 2024-03-06 23:02:40,915 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.sv' 2024-03-06 23:02:40,916 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_cfg.sv' 2024-03-06 23:02:40,917 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_pkg.sv' 2024-03-06 23:02:40,918 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_bus_item.sv' 2024-03-06 23:02:40,919 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_driver.sv' 2024-03-06 23:02:40,920 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_if.sv' 2024-03-06 23:02:40,921 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_item.sv' 2024-03-06 23:02:40,922 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_monitor.sv' 2024-03-06 23:02:40,923 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_protocol_checker.sv' 2024-03-06 23:02:40,924 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_base_seq.sv' 2024-03-06 23:02:40,925 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_seq_list.sv' 2024-03-06 23:02:40,927 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/README.md' 2024-03-06 23:02:40,928 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.core' 2024-03-06 23:02:40,928 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.sv' 2024-03-06 23:02:40,929 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cfg.sv' 2024-03-06 23:02:40,930 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cov.sv' 2024-03-06 23:02:40,931 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_pkg.sv' 2024-03-06 23:02:40,932 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_bus_item.sv' 2024-03-06 23:02:40,933 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_driver.sv' 2024-03-06 23:02:40,934 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_if.sv' 2024-03-06 23:02:40,936 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_model.sv' 2024-03-06 23:02:40,937 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_monitor.sv' 2024-03-06 23:02:40,938 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_protocol_checker.sv' 2024-03-06 23:02:40,939 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_req_item.sv' 2024-03-06 23:02:40,940 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_resp_item.sv' 2024-03-06 23:02:40,941 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_sequencer.sv' 2024-03-06 23:02:40,942 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_base_seq.sv' 2024-03-06 23:02:40,943 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_resp_seq.sv' 2024-03-06 23:02:40,944 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_seq_list.sv' 2024-03-06 23:02:40,945 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/README.md' 2024-03-06 23:02:40,946 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.core' 2024-03-06 23:02:40,947 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.sv' 2024-03-06 23:02:40,948 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/ic_top.sv' 2024-03-06 23:02:40,949 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/tb.sv' 2024-03-06 23:02:40,950 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_base_test.sv' 2024-03-06 23:02:40,951 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_oldval_test.sv' 2024-03-06 23:02:40,952 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test.core' 2024-03-06 23:02:40,953 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test_pkg.sv' 2024-03-06 23:02:40,954 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/ibex_pcounts.core' 2024-03-06 23:02:40,955 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.cc' 2024-03-06 23:02:40,956 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.h' 2024-03-06 23:02:40,958 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/README.md' 2024-03-06 23:02:40,959 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_cosim_setup_check.core' 2024-03-06 23:02:40,960 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim.core' 2024-03-06 23:02:40,961 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker.sv' 2024-03-06 23:02:40,962 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker_bind.sv' 2024-03-06 23:02:40,963 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/simple_system_cosim.cc' 2024-03-06 23:02:40,964 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util/ibex_cosim_setup_check.sh' 2024-03-06 23:02:40,965 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/README.md' 2024-03-06 23:02:40,966 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/top_artya7.core' 2024-03-06 23:02:40,967 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data/pins_artya7.xdc' 2024-03-06 23:02:40,969 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl/top_artya7.sv' 2024-03-06 23:02:40,970 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_hook_write_bitstream_pre.tcl' 2024-03-06 23:02:40,971 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_setup_hooks.tcl' 2024-03-06 23:02:40,972 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/README.md' 2024-03-06 23:02:40,973 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.cc' 2024-03-06 23:02:40,974 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.core' 2024-03-06 23:02:40,975 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.h' 2024-03-06 23:02:40,976 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_core.core' 2024-03-06 23:02:40,977 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_main.cc' 2024-03-06 23:02:40,978 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/spike-simple-system.sh' 2024-03-06 23:02:40,979 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verible_waiver.vbw' 2024-03-06 23:02:40,980 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verilator_waiver.vlt' 2024-03-06 23:02:40,981 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl/ibex_simple_system.sv' 2024-03-06 23:02:40,982 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/.gitignore' 2024-03-06 23:02:40,983 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/README.md' 2024-03-06 23:02:40,985 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/Makefile' 2024-03-06 23:02:40,986 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.c' 2024-03-06 23:02:40,987 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.h' 2024-03-06 23:02:40,988 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.mak' 2024-03-06 23:02:40,989 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/ee_printf.c' 2024-03-06 23:02:40,990 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/led/Makefile' 2024-03-06 23:02:40,991 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/led/crt0.S' 2024-03-06 23:02:40,992 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/led/led.c' 2024-03-06 23:02:40,993 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/led/link.ld' 2024-03-06 23:02:40,994 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/common.mk' 2024-03-06 23:02:40,995 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/crt0.S' 2024-03-06 23:02:40,996 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/link.ld' 2024-03-06 23:02:40,997 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.c' 2024-03-06 23:02:40,998 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.h' 2024-03-06 23:02:40,999 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_regs.h' 2024-03-06 23:02:41,000 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/Makefile' 2024-03-06 23:02:41,001 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/hello_test.c' 2024-03-06 23:02:41,003 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/.gitignore' 2024-03-06 23:02:41,004 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/Makefile' 2024-03-06 23:02:41,005 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_div.svh' 2024-03-06 23:02:41,006 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mulh.svh' 2024-03-06 23:02:41,007 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mull.svh' 2024-03-06 23:02:41,007 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_rem.svh' 2024-03-06 23:02:41,008 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_div.svh' 2024-03-06 23:02:41,009 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mulh.svh' 2024-03-06 23:02:41,010 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mull.svh' 2024-03-06 23:02:41,011 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_rem.svh' 2024-03-06 23:02:41,012 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_div.svh' 2024-03-06 23:02:41,013 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mulh.svh' 2024-03-06 23:02:41,014 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mull.svh' 2024-03-06 23:02:41,015 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_rem.svh' 2024-03-06 23:02:41,016 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb.sv' 2024-03-06 23:02:41,017 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb_frag.svh' 2024-03-06 23:02:41,018 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/ibex_data_ind_timing.core' 2024-03-06 23:02:41,019 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_div.svh' 2024-03-06 23:02:41,020 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mulh.svh' 2024-03-06 23:02:41,021 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mull.svh' 2024-03-06 23:02:41,022 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_rem.svh' 2024-03-06 23:02:41,023 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/run.sby.j2' 2024-03-06 23:02:41,024 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/Makefile' 2024-03-06 23:02:41,025 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb.sv' 2024-03-06 23:02:41,026 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb_frag.svh' 2024-03-06 23:02:41,027 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/ibex_icache_fpv.core' 2024-03-06 23:02:41,028 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/run.sby.j2' 2024-03-06 23:02:41,029 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/riscv-formal/Makefile' 2024-03-06 23:02:41,030 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/riscv-formal/README.md' 2024-03-06 23:02:41,031 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/lint/verible_waiver.vbw' 2024-03-06 23:02:41,032 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/lint/verilator_waiver.vlt' 2024-03-06 23:02:41,034 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_alu.sv' 2024-03-06 23:02:41,035 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_branch_predict.sv' 2024-03-06 23:02:41,036 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_compressed_decoder.sv' 2024-03-06 23:02:41,038 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_controller.sv' 2024-03-06 23:02:41,039 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_core.f' 2024-03-06 23:02:41,040 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_core.sv' 2024-03-06 23:02:41,042 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_counter.sv' 2024-03-06 23:02:41,043 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_cs_registers.sv' 2024-03-06 23:02:41,045 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_csr.sv' 2024-03-06 23:02:41,046 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_decoder.sv' 2024-03-06 23:02:41,048 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_dummy_instr.sv' 2024-03-06 23:02:41,049 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_ex_block.sv' 2024-03-06 23:02:41,050 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_fetch_fifo.sv' 2024-03-06 23:02:41,051 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_icache.sv' 2024-03-06 23:02:41,053 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_id_stage.sv' 2024-03-06 23:02:41,055 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_if_stage.sv' 2024-03-06 23:02:41,056 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_load_store_unit.sv' 2024-03-06 23:02:41,057 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_lockstep.sv' 2024-03-06 23:02:41,059 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_fast.sv' 2024-03-06 23:02:41,060 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_slow.sv' 2024-03-06 23:02:41,061 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_pkg.sv' 2024-03-06 23:02:41,062 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_pmp.sv' 2024-03-06 23:02:41,063 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_prefetch_buffer.sv' 2024-03-06 23:02:41,065 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_ff.sv' 2024-03-06 23:02:41,066 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_fpga.sv' 2024-03-06 23:02:41,067 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_latch.sv' 2024-03-06 23:02:41,068 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_top.sv' 2024-03-06 23:02:41,069 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_top_tracing.sv' 2024-03-06 23:02:41,070 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer.sv' 2024-03-06 23:02:41,072 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer_pkg.sv' 2024-03-06 23:02:41,073 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_wb_stage.sv' 2024-03-06 23:02:41,074 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/fpga_xilinx.core' 2024-03-06 23:02:41,075 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/sim_shared.core' 2024-03-06 23:02:41,076 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/bus.sv' 2024-03-06 23:02:41,077 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/ram_1p.sv' 2024-03-06 23:02:41,078 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/ram_2p.sv' 2024-03-06 23:02:41,079 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/timer.sv' 2024-03-06 23:02:41,081 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx/clkgen_xil7series.sv' 2024-03-06 23:02:41,082 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/sim/simulator_ctrl.sv' 2024-03-06 23:02:41,083 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/README.md' 2024-03-06 23:02:41,084 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/ibex_top.nangate.sdc' 2024-03-06 23:02:41,085 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/ibex_top_abc.nangate.sdc' 2024-03-06 23:02:41,086 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/ibex_top_lr_synth_conf.tcl' 2024-03-06 23:02:41,087 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.do' 2024-03-06 23:02:41,088 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.sh' 2024-03-06 23:02:41,089 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/syn_setup.example.sh' 2024-03-06 23:02:41,090 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/syn_yosys.sh' 2024-03-06 23:02:41,091 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/translate_timing_rpts.sh' 2024-03-06 23:02:41,092 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/python/build_translated_names.py' 2024-03-06 23:02:41,093 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/python/flow_utils.py' 2024-03-06 23:02:41,094 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/python/get_kge.py' 2024-03-06 23:02:41,095 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/python/translate_timing_csv.py' 2024-03-06 23:02:41,096 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/rtl/latch_map.v' 2024-03-06 23:02:41,097 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/rtl/prim_clock_gating.v' 2024-03-06 23:02:41,098 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/flow_utils.tcl' 2024-03-06 23:02:41,099 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/lr_synth_flow_var_setup.tcl' 2024-03-06 23:02:41,100 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/sta_common.tcl' 2024-03-06 23:02:41,101 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/sta_open_design.tcl' 2024-03-06 23:02:41,102 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/sta_run_reports.tcl' 2024-03-06 23:02:41,103 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/sta_utils.tcl' 2024-03-06 23:02:41,104 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_common.tcl' 2024-03-06 23:02:41,105 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_post_synth.tcl' 2024-03-06 23:02:41,106 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_pre_map.tcl' 2024-03-06 23:02:41,107 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_run_synth.tcl' 2024-03-06 23:02:41,108 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/Makefile' 2024-03-06 23:02:41,109 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/check_tool_requirements.py' 2024-03-06 23:02:41,110 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/ibex_config.py' 2024-03-06 23:02:41,111 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/ibex_util_sv2v.core' 2024-03-06 23:02:41,112 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/sv2v_in_place.py' 2024-03-06 23:02:41,113 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark.lock.hjson' 2024-03-06 23:02:41,114 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.lock.hjson' 2024-03-06 23:02:41,115 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.vendor.hjson' 2024-03-06 23:02:41,116 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.lock.hjson' 2024-03-06 23:02:41,117 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.vendor.hjson' 2024-03-06 23:02:41,118 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/LICENSE.md' 2024-03-06 23:02:41,119 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/Makefile' 2024-03-06 23:02:41,120 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/README.md' 2024-03-06 23:02:41,122 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_list_join.c' 2024-03-06 23:02:41,123 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_main.c' 2024-03-06 23:02:41,124 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_matrix.c' 2024-03-06 23:02:41,125 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_state.c' 2024-03-06 23:02:41,126 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_util.c' 2024-03-06 23:02:41,127 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.h' 2024-03-06 23:02:41,128 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.md5' 2024-03-06 23:02:41,129 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.c' 2024-03-06 23:02:41,130 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.h' 2024-03-06 23:02:41,131 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.mak' 2024-03-06 23:02:41,132 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/cvt.c' 2024-03-06 23:02:41,134 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/ee_printf.c' 2024-03-06 23:02:41,135 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.c' 2024-03-06 23:02:41,136 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.h' 2024-03-06 23:02:41,137 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.mak' 2024-03-06 23:02:41,138 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/READM.md' 2024-03-06 23:02:41,139 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/balance_O0_joined.png' 2024-03-06 23:02:41,142 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/coremark_profile_o0_joined.png' 2024-03-06 23:02:41,146 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index.html' 2024-03-06 23:02:41,147 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_list_join-c.html' 2024-03-06 23:02:41,148 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_main-c.html' 2024-03-06 23:02:41,150 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_matrix-c.html' 2024-03-06 23:02:41,151 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_state-c.html' 2024-03-06 23:02:41,152 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_util-c.html' 2024-03-06 23:02:41,153 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/coremark-h.html' 2024-03-06 23:02:41,154 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/readme-txt.html' 2024-03-06 23:02:41,156 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/release_notes-txt.html' 2024-03-06 23:02:41,157 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32/core_portme-mak.html' 2024-03-06 23:02:41,158 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs/core_state.png' 2024-03-06 23:02:41,161 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-c.html' 2024-03-06 23:02:41,162 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-h.html' 2024-03-06 23:02:41,163 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-mak.html' 2024-03-06 23:02:41,165 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/BuildTargets.html' 2024-03-06 23:02:41,166 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configuration.html' 2024-03-06 23:02:41,167 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configurations.html' 2024-03-06 23:02:41,168 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Files.html' 2024-03-06 23:02:41,169 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Functions.html' 2024-03-06 23:02:41,171 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General.html' 2024-03-06 23:02:41,173 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General2.html' 2024-03-06 23:02:41,174 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Types.html' 2024-03-06 23:02:41,175 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Variables.html' 2024-03-06 23:02:41,177 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/main.js' 2024-03-06 23:02:41,178 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/searchdata.js' 2024-03-06 23:02:41,179 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/BuildTargetsP.html' 2024-03-06 23:02:41,180 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationC.html' 2024-03-06 23:02:41,181 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationH.html' 2024-03-06 23:02:41,182 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationM.html' 2024-03-06 23:02:41,183 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationS.html' 2024-03-06 23:02:41,184 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationT.html' 2024-03-06 23:02:41,185 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationU.html' 2024-03-06 23:02:41,186 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsH.html' 2024-03-06 23:02:41,187 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsM.html' 2024-03-06 23:02:41,189 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsS.html' 2024-03-06 23:02:41,190 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsT.html' 2024-03-06 23:02:41,191 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesC.html' 2024-03-06 23:02:41,192 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesR.html' 2024-03-06 23:02:41,193 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsC.html' 2024-03-06 23:02:41,194 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsG.html' 2024-03-06 23:02:41,195 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsI.html' 2024-03-06 23:02:41,196 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsM.html' 2024-03-06 23:02:41,197 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsP.html' 2024-03-06 23:02:41,198 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsS.html' 2024-03-06 23:02:41,199 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsT.html' 2024-03-06 23:02:41,200 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralB.html' 2024-03-06 23:02:41,201 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralC.html' 2024-03-06 23:02:41,202 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralD.html' 2024-03-06 23:02:41,203 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralF.html' 2024-03-06 23:02:41,204 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralG.html' 2024-03-06 23:02:41,205 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralH.html' 2024-03-06 23:02:41,206 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralI.html' 2024-03-06 23:02:41,207 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralL.html' 2024-03-06 23:02:41,208 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralM.html' 2024-03-06 23:02:41,209 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralO.html' 2024-03-06 23:02:41,210 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralP.html' 2024-03-06 23:02:41,211 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralR.html' 2024-03-06 23:02:41,212 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralS.html' 2024-03-06 23:02:41,213 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralT.html' 2024-03-06 23:02:41,214 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralU.html' 2024-03-06 23:02:41,215 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralV.html' 2024-03-06 23:02:41,216 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralW.html' 2024-03-06 23:02:41,217 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/NoResults.html' 2024-03-06 23:02:41,218 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/TypesS.html' 2024-03-06 23:02:41,219 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesC.html' 2024-03-06 23:02:41,220 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesD.html' 2024-03-06 23:02:41,221 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesL.html' 2024-03-06 23:02:41,222 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesO.html' 2024-03-06 23:02:41,223 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesP.html' 2024-03-06 23:02:41,224 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesR.html' 2024-03-06 23:02:41,225 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesS.html' 2024-03-06 23:02:41,226 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/1.css' 2024-03-06 23:02:41,228 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/2.css' 2024-03-06 23:02:41,228 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/main.css' 2024-03-06 23:02:41,230 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.c' 2024-03-06 23:02:41,231 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.h' 2024-03-06 23:02:41,232 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.mak' 2024-03-06 23:02:41,233 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.c' 2024-03-06 23:02:41,234 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.h' 2024-03-06 23:02:41,235 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.mak' 2024-03-06 23:02:41,236 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.c' 2024-03-06 23:02:41,238 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.h' 2024-03-06 23:02:41,239 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.mak' 2024-03-06 23:02:41,240 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.c' 2024-03-06 23:02:41,241 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.h' 2024-03-06 23:02:41,242 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.mak' 2024-03-06 23:02:41,243 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.flake8' 2024-03-06 23:02:41,244 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.gitignore' 2024-03-06 23:02:41,245 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.metrics.json' 2024-03-06 23:02:41,246 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.travis.yml' 2024-03-06 23:02:41,247 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/CONTRIBUTING.md' 2024-03-06 23:02:41,248 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/LICENSE.txt' 2024-03-06 23:02:41,249 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/MANIFEST.in' 2024-03-06 23:02:41,250 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/README.md' 2024-03-06 23:02:41,251 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/cov.py' 2024-03-06 23:02:41,252 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/files.f' 2024-03-06 23:02:41,253 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/qrun_option.f' 2024-03-06 23:02:41,254 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/questa_sim.tcl' 2024-03-06 23:02:41,255 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/requirements.txt' 2024-03-06 23:02:41,256 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/riviera_sim.tcl' 2024-03-06 23:02:41,257 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/run.py' 2024-03-06 23:02:41,259 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.cfg' 2024-03-06 23:02:41,260 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.py' 2024-03-06 23:02:41,261 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/vcs.compile.option.f' 2024-03-06 23:02:41,262 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows/metrics-regress.yml' 2024-03-06 23:02:41,263 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/Makefile' 2024-03-06 23:02:41,264 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/make.bat' 2024-03-06 23:02:41,265 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/appendix.rst' 2024-03-06 23:02:41,266 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/class_reference.rst' 2024-03-06 23:02:41,267 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/cmd_line_reference.rst' 2024-03-06 23:02:41,268 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/conf.py' 2024-03-06 23:02:41,269 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/configuration.rst' 2024-03-06 23:02:41,270 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/coverage_model.rst' 2024-03-06 23:02:41,271 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/customize_extend_generator.rst' 2024-03-06 23:02:41,272 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/end_to_end_simulation.rst' 2024-03-06 23:02:41,273 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/extension_support.rst' 2024-03-06 23:02:41,274 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/generator_flow.rst' 2024-03-06 23:02:41,275 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/getting_started.rst' 2024-03-06 23:02:41,276 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/handshake.rst' 2024-03-06 23:02:41,278 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/index.rst' 2024-03-06 23:02:41,279 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/overview.rst' 2024-03-06 23:02:41,280 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/trace_csv.png' 2024-03-06 23:02:41,281 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/README.md' 2024-03-06 23:02:41,282 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_asm_program_gen.py' 2024-03-06 23:02:41,283 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_callstack_gen.py' 2024-03-06 23:02:41,284 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_data_page_gen.py' 2024-03-06 23:02:41,285 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_directed_instr_lib.py' 2024-03-06 23:02:41,287 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_base.py' 2024-03-06 23:02:41,288 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_sequence.py' 2024-03-06 23:02:41,289 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_stream.py' 2024-03-06 23:02:41,290 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_load_store_instr_lib.py' 2024-03-06 23:02:41,291 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_rand_instr.py' 2024-03-06 23:02:41,292 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/utils.py' 2024-03-06 23:02:41,294 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_amo_instr_lib.py' 2024-03-06 23:02:41,295 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_asm_program_gen.py' 2024-03-06 23:02:41,297 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_data_page_gen.py' 2024-03-06 23:02:41,298 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_defines.py' 2024-03-06 23:02:41,299 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_directed_instr_lib.py' 2024-03-06 23:02:41,300 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_illegal_instr.py' 2024-03-06 23:02:41,301 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_cover_group.py' 2024-03-06 23:02:41,304 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_gen_config.py' 2024-03-06 23:02:41,305 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_pkg.py' 2024-03-06 23:02:41,307 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_sequence.py' 2024-03-06 23:02:41,308 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_stream.py' 2024-03-06 23:02:41,309 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_load_store_instr_lib.py' 2024-03-06 23:02:41,310 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privil_reg.py' 2024-03-06 23:02:41,311 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privileged_common_seq.py' 2024-03-06 23:02:41,312 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_pseudo_instr.py' 2024-03-06 23:02:41,313 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_reg.py' 2024-03-06 23:02:41,314 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_signature_pkg.py' 2024-03-06 23:02:41,315 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_utils.py' 2024-03-06 23:02:41,317 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_amo_instr.py' 2024-03-06 23:02:41,318 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_b_instr.py' 2024-03-06 23:02:41,319 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_compressed_instr.py' 2024-03-06 23:02:41,320 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_cov_instr.py' 2024-03-06 23:02:41,322 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_floating_point_instr.py' 2024-03-06 23:02:41,323 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_instr.py' 2024-03-06 23:02:41,324 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32a_instr.py' 2024-03-06 23:02:41,325 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32b_instr.py' 2024-03-06 23:02:41,326 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32c_instr.py' 2024-03-06 23:02:41,327 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32d_instr.py' 2024-03-06 23:02:41,328 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32dc_instr.py' 2024-03-06 23:02:41,329 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32f_instr.py' 2024-03-06 23:02:41,330 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32fc_instr.py' 2024-03-06 23:02:41,331 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32i_instr.py' 2024-03-06 23:02:41,332 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32m_instr.py' 2024-03-06 23:02:41,334 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscvOVPsim.ic' 2024-03-06 23:02:41,335 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscv_core_setting.py' 2024-03-06 23:02:41,336 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscvOVPsim.ic' 2024-03-06 23:02:41,337 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscv_core_setting.py' 2024-03-06 23:02:41,338 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscvOVPsim.ic' 2024-03-06 23:02:41,339 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscv_core_setting.py' 2024-03-06 23:02:41,340 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscvOVPsim.ic' 2024-03-06 23:02:41,341 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscv_core_setting.py' 2024-03-06 23:02:41,342 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscvOVPsim.ic' 2024-03-06 23:02:41,343 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscv_core_setting.py' 2024-03-06 23:02:41,344 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_base_test.py' 2024-03-06 23:02:41,346 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_cov_test.py' 2024-03-06 23:02:41,347 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_rand_instr_test.py' 2024-03-06 23:02:41,348 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/check-status' 2024-03-06 23:02:41,349 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/genMetricsList.py' 2024-03-06 23:02:41,350 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/gen_csr_test.py' 2024-03-06 23:02:41,351 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/instr_trace_compare.py' 2024-03-06 23:02:41,352 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/lib.py' 2024-03-06 23:02:41,354 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/link.ld' 2024-03-06 23:02:41,355 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/metrics-regress.py' 2024-03-06 23:02:41,356 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/ovpsim_log_to_trace_csv.py' 2024-03-06 23:02:41,357 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/riscv_trace_csv.py' 2024-03-06 23:02:41,358 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/sail_log_to_trace_csv.py' 2024-03-06 23:02:41,359 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/spike_log_to_trace_csv.py' 2024-03-06 23:02:41,360 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/whisper_log_trace_csv.py' 2024-03-06 23:02:41,361 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/instr_trace_compare.py' 2024-03-06 23:02:41,362 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/ovpsim_log_to_trace_csv.py' 2024-03-06 23:02:41,364 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/riscv_trace_csv.py' 2024-03-06 23:02:41,365 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/spike_log_to_trace_csv.py' 2024-03-06 23:02:41,366 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/dv_defines.svh' 2024-03-06 23:02:41,367 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_amo_instr_lib.sv' 2024-03-06 23:02:41,368 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_asm_program_gen.sv' 2024-03-06 23:02:41,371 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_callstack_gen.sv' 2024-03-06 23:02:41,372 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_data_page_gen.sv' 2024-03-06 23:02:41,373 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_debug_rom_gen.sv' 2024-03-06 23:02:41,374 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_defines.svh' 2024-03-06 23:02:41,375 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_directed_instr_lib.sv' 2024-03-06 23:02:41,376 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_illegal_instr.sv' 2024-03-06 23:02:41,378 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_cover_group.sv' 2024-03-06 23:02:41,380 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_gen_config.sv' 2024-03-06 23:02:41,381 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_pkg.sv' 2024-03-06 23:02:41,383 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_sequence.sv' 2024-03-06 23:02:41,384 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_stream.sv' 2024-03-06 23:02:41,385 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_load_store_instr_lib.sv' 2024-03-06 23:02:41,387 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_loop_instr.sv' 2024-03-06 23:02:41,388 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table.sv' 2024-03-06 23:02:41,389 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_entry.sv' 2024-03-06 23:02:41,390 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_exception_cfg.sv' 2024-03-06 23:02:41,391 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_list.sv' 2024-03-06 23:02:41,392 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pmp_cfg.sv' 2024-03-06 23:02:41,394 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privil_reg.sv' 2024-03-06 23:02:41,395 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privileged_common_seq.sv' 2024-03-06 23:02:41,396 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pseudo_instr.sv' 2024-03-06 23:02:41,397 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_reg.sv' 2024-03-06 23:02:41,398 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_signature_pkg.sv' 2024-03-06 23:02:41,399 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_vector_cfg.sv' 2024-03-06 23:02:41,401 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_amo_instr.sv' 2024-03-06 23:02:41,402 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_b_instr.sv' 2024-03-06 23:02:41,403 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_compressed_instr.sv' 2024-03-06 23:02:41,404 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_floating_point_instr.sv' 2024-03-06 23:02:41,405 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr.sv' 2024-03-06 23:02:41,407 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr_cov.svh' 2024-03-06 23:02:41,408 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_vector_instr.sv' 2024-03-06 23:02:41,409 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv128c_instr.sv' 2024-03-06 23:02:41,410 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32a_instr.sv' 2024-03-06 23:02:41,411 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32b_instr.sv' 2024-03-06 23:02:41,412 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32c_instr.sv' 2024-03-06 23:02:41,413 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32d_instr.sv' 2024-03-06 23:02:41,414 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32dc_instr.sv' 2024-03-06 23:02:41,415 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32f_instr.sv' 2024-03-06 23:02:41,416 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32fc_instr.sv' 2024-03-06 23:02:41,417 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32i_instr.sv' 2024-03-06 23:02:41,418 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32m_instr.sv' 2024-03-06 23:02:41,419 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32v_instr.sv' 2024-03-06 23:02:41,421 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64a_instr.sv' 2024-03-06 23:02:41,422 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64b_instr.sv' 2024-03-06 23:02:41,423 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64c_instr.sv' 2024-03-06 23:02:41,423 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64d_instr.sv' 2024-03-06 23:02:41,424 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64f_instr.sv' 2024-03-06 23:02:41,425 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64i_instr.sv' 2024-03-06 23:02:41,426 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64m_instr.sv' 2024-03-06 23:02:41,428 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr.sv' 2024-03-06 23:02:41,428 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr_enum.sv' 2024-03-06 23:02:41,429 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv32x_instr.sv' 2024-03-06 23:02:41,430 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv64x_instr.sv' 2024-03-06 23:02:41,432 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscvOVPsim.ic' 2024-03-06 23:02:41,433 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscv_core_setting.sv' 2024-03-06 23:02:41,434 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/testlist.yaml' 2024-03-06 23:02:41,435 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscvOVPsim.ic' 2024-03-06 23:02:41,436 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscv_core_setting.sv' 2024-03-06 23:02:41,437 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/testlist.yaml' 2024-03-06 23:02:41,438 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscvOVPsim.ic' 2024-03-06 23:02:41,439 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscv_core_setting.sv' 2024-03-06 23:02:41,440 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/testlist.yaml' 2024-03-06 23:02:41,441 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/riscv_core_setting.sv' 2024-03-06 23:02:41,442 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/testlist.yaml' 2024-03-06 23:02:41,444 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscvOVPsim.ic' 2024-03-06 23:02:41,445 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscv_core_setting.sv' 2024-03-06 23:02:41,446 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/testlist.yaml' 2024-03-06 23:02:41,447 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscvOVPsim.ic' 2024-03-06 23:02:41,448 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscv_core_setting.sv' 2024-03-06 23:02:41,449 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/testlist.yaml' 2024-03-06 23:02:41,450 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscvOVPsim.ic' 2024-03-06 23:02:41,451 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscv_core_setting.sv' 2024-03-06 23:02:41,452 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/testlist.yaml' 2024-03-06 23:02:41,453 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscvOVPsim.ic' 2024-03-06 23:02:41,454 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscv_core_setting.sv' 2024-03-06 23:02:41,455 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/testlist.yaml' 2024-03-06 23:02:41,456 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscvOVPsim.ic' 2024-03-06 23:02:41,457 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscv_core_setting.sv' 2024-03-06 23:02:41,458 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/testlist.yaml' 2024-03-06 23:02:41,459 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscvOVPsim.ic' 2024-03-06 23:02:41,460 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscv_core_setting.sv' 2024-03-06 23:02:41,461 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/testlist.yaml' 2024-03-06 23:02:41,463 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscvOVPsim.ic' 2024-03-06 23:02:41,464 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscv_core_setting.sv' 2024-03-06 23:02:41,465 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/testlist.yaml' 2024-03-06 23:02:41,466 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_base_test.sv' 2024-03-06 23:02:41,467 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_cov_test.sv' 2024-03-06 23:02:41,468 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_gen_tb_top.sv' 2024-03-06 23:02:41,469 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test.sv' 2024-03-06 23:02:41,470 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_lib.sv' 2024-03-06 23:02:41,471 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_pkg.sv' 2024-03-06 23:02:41,472 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_define.h' 2024-03-06 23:02:41,473 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_extension.svh' 2024-03-06 23:02:41,474 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_init.s' 2024-03-06 23:02:41,475 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/build-verible.sh' 2024-03-06 23:02:41,476 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/exclude_filelist.f' 2024-03-06 23:02:41,477 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/run.sh' 2024-03-06 23:02:41,478 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/base_testlist.yaml' 2024-03-06 23:02:41,479 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/cov_testlist.yaml' 2024-03-06 23:02:41,480 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/csr_template.yaml' 2024-03-06 23:02:41,481 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/iss.yaml' 2024-03-06 23:02:41,482 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/simulator.yaml' 2024-03-06 23:02:41,483 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_if.sv' 2024-03-06 23:02:41,484 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_rst_if.sv' 2024-03-06 23:02:41,486 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/common_ifs.core' 2024-03-06 23:02:41,487 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/index.md' 2024-03-06 23:02:41,488 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.sv' 2024-03-06 23:02:41,489 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.svg' 2024-03-06 23:02:41,490 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_ifs.core' 2024-03-06 23:02:41,491 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/README.md' 2024-03-06 23:02:41,492 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_seq_lib.sv' 2024-03-06 23:02:41,493 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils.core' 2024-03-06 23:02:41,494 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils_pkg.sv' 2024-03-06 23:02:41,496 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/csr_excl_item.sv' 2024-03-06 23:02:41,497 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_mem.sv' 2024-03-06 23:02:41,498 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.core' 2024-03-06 23:02:41,499 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.sv' 2024-03-06 23:02:41,500 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_block.sv' 2024-03-06 23:02:41,501 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_field.sv' 2024-03-06 23:02:41,503 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_map.sv' 2024-03-06 23:02:41,504 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_pkg.sv' 2024-03-06 23:02:41,505 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/README.md' 2024-03-06 23:02:41,506 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent.sv' 2024-03-06 23:02:41,507 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cfg.sv' 2024-03-06 23:02:41,508 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cov.sv' 2024-03-06 23:02:41,509 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_driver.sv' 2024-03-06 23:02:41,510 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env.sv' 2024-03-06 23:02:41,511 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cfg.sv' 2024-03-06 23:02:41,512 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cov.sv' 2024-03-06 23:02:41,513 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_monitor.sv' 2024-03-06 23:02:41,514 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_scoreboard.sv' 2024-03-06 23:02:41,515 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_seq.sv' 2024-03-06 23:02:41,516 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_sequencer.sv' 2024-03-06 23:02:41,517 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_test.sv' 2024-03-06 23:02:41,518 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_virtual_sequencer.sv' 2024-03-06 23:02:41,519 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_vseq.sv' 2024-03-06 23:02:41,520 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib.core' 2024-03-06 23:02:41,521 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib_pkg.sv' 2024-03-06 23:02:41,522 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/README.md' 2024-03-06 23:02:41,523 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.core' 2024-03-06 23:02:41,524 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.svh' 2024-03-06 23:02:41,525 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.core' 2024-03-06 23:02:41,526 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.svh' 2024-03-06 23:02:41,527 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_report_server.sv' 2024-03-06 23:02:41,528 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status.core' 2024-03-06 23:02:41,529 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status_pkg.sv' 2024-03-06 23:02:41,530 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils.core' 2024-03-06 23:02:41,531 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils_pkg.sv' 2024-03-06 23:02:41,532 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_vif_wrap.sv' 2024-03-06 23:02:41,533 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/README.md' 2024-03-06 23:02:41,534 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.core' 2024-03-06 23:02:41,535 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.sv' 2024-03-06 23:02:41,536 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model_pkg.sv' 2024-03-06 23:02:41,537 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/README.md' 2024-03-06 23:02:41,538 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils.core' 2024-03-06 23:02:41,539 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils_pkg.sv' 2024-03-06 23:02:41,541 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/README.md' 2024-03-06 23:02:41,542 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/common.tcl' 2024-03-06 23:02:41,543 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/sim.tcl' 2024-03-06 23:02:41,544 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/waves.tcl' 2024-03-06 23:02:41,545 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_modes.hjson' 2024-03-06 23:02:41,546 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_sim_cfg.hjson' 2024-03-06 23:02:41,547 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/dsim.hjson' 2024-03-06 23:02:41,548 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/fusesoc.hjson' 2024-03-06 23:02:41,549 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/riviera.hjson' 2024-03-06 23:02:41,550 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/sim.mk' 2024-03-06 23:02:41,551 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/vcs.hjson' 2024-03-06 23:02:41,552 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/verilator.hjson' 2024-03-06 23:02:41,553 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/xcelium.hjson' 2024-03-06 23:02:41,554 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/alert_test_testplan.hjson' 2024-03-06 23:02:41,555 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/csr_testplan.hjson' 2024-03-06 23:02:41,557 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/enable_reg_testplan.hjson' 2024-03-06 23:02:41,557 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson' 2024-03-06 23:02:41,558 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/intr_test_testplan.hjson' 2024-03-06 23:02:41,559 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/mem_testplan.hjson' 2024-03-06 23:02:41,560 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson' 2024-03-06 23:02:41,561 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson' 2024-03-06 23:02:41,562 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson' 2024-03-06 23:02:41,564 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/alert_test.hjson' 2024-03-06 23:02:41,569 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/csr_tests.hjson' 2024-03-06 23:02:41,570 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/intr_test.hjson' 2024-03-06 23:02:41,571 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/mem_tests.hjson' 2024-03-06 23:02:41,571 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson' 2024-03-06 23:02:41,572 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/stress_tests.hjson' 2024-03-06 23:02:41,573 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/tl_access_tests.hjson' 2024-03-06 23:02:41,575 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/README.md' 2024-03-06 23:02:41,576 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.core' 2024-03-06 23:02:41,576 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.py' 2024-03-06 23:02:41,578 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera/riviera_run.do' 2024-03-06 23:02:41,579 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/common_cov_excl.el' 2024-03-06 23:02:41,580 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover.cfg' 2024-03-06 23:02:41,581 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover_reg_top.cfg' 2024-03-06 23:02:41,582 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/unr.cfg' 2024-03-06 23:02:41,583 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/xprop.cfg' 2024-03-06 23:02:41,584 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_merge.tcl' 2024-03-06 23:02:41,585 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_report.tcl' 2024-03-06 23:02:41,586 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/exclude.tcl' 2024-03-06 23:02:41,587 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/unr.cfg' 2024-03-06 23:02:41,588 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/xcelium.ccf' 2024-03-06 23:02:41,589 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/README.md' 2024-03-06 23:02:41,590 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi.core' 2024-03-06 23:02:41,591 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled.core' 2024-03-06 23:02:41,592 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled_opts.hjson' 2024-03-06 23:02:41,593 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_verilator.core' 2024-03-06 23:02:41,594 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.cc' 2024-03-06 23:02:41,595 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.h' 2024-03-06 23:02:41,596 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.cc' 2024-03-06 23:02:41,597 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.h' 2024-03-06 23:02:41,598 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.cc' 2024-03-06 23:02:41,599 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.h' 2024-03-06 23:02:41,600 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ranged_map.h' 2024-03-06 23:02:41,602 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.cc' 2024-03-06 23:02:41,603 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.h' 2024-03-06 23:02:41,604 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.cc' 2024-03-06 23:02:41,605 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.h' 2024-03-06 23:02:41,606 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.cc' 2024-03-06 23:02:41,607 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.h' 2024-03-06 23:02:41,608 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/simutil_verilator.core' 2024-03-06 23:02:41,609 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h' 2024-03-06 23:02:41,610 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc' 2024-03-06 23:02:41,611 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h' 2024-03-06 23:02:41,612 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc' 2024-03-06 23:02:41,613 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h' 2024-03-06 23:02:41,615 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/README.md' 2024-03-06 23:02:41,616 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim.core' 2024-03-06 23:02:41,617 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_alert.core' 2024-03-06 23:02:41,618 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_arbiter.core' 2024-03-06 23:02:41,619 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_assert.core' 2024-03-06 23:02:41,620 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_buf.core' 2024-03-06 23:02:41,621 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher.core' 2024-03-06 23:02:41,622 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher_pkg.core' 2024-03-06 23:02:41,623 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_buf.core' 2024-03-06 23:02:41,624 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_div.core' 2024-03-06 23:02:41,625 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_gating.core' 2024-03-06 23:02:41,625 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_inv.core' 2024-03-06 23:02:41,626 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_mux2.core' 2024-03-06 23:02:41,627 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_diff_decode.core' 2024-03-06 23:02:41,628 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_dom_and_2share.core' 2024-03-06 23:02:41,629 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_edn_req.core' 2024-03-06 23:02:41,630 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_esc.core' 2024-03-06 23:02:41,631 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_fifo.core' 2024-03-06 23:02:41,632 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flash.core' 2024-03-06 23:02:41,633 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop.core' 2024-03-06 23:02:41,634 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_2sync.core' 2024-03-06 23:02:41,635 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_en.core' 2024-03-06 23:02:41,636 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_gf_mult.core' 2024-03-06 23:02:41,637 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_dec.core' 2024-03-06 23:02:41,638 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sender.core' 2024-03-06 23:02:41,639 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sync.core' 2024-03-06 23:02:41,640 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lfsr.core' 2024-03-06 23:02:41,641 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_msb_extend.core' 2024-03-06 23:02:41,642 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_multibit_sync.core' 2024-03-06 23:02:41,643 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp.core' 2024-03-06 23:02:41,644 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp_pkg.core' 2024-03-06 23:02:41,645 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_attr.core' 2024-03-06 23:02:41,646 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper.core' 2024-03-06 23:02:41,647 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper_pkg.core' 2024-03-06 23:02:41,648 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pkg.core' 2024-03-06 23:02:41,649 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p.core' 2024-03-06 23:02:41,650 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_adv.core' 2024-03-06 23:02:41,650 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_pkg.core' 2024-03-06 23:02:41,651 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_scr.core' 2024-03-06 23:02:41,652 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p.core' 2024-03-06 23:02:41,653 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_adv.core' 2024-03-06 23:02:41,654 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_async_adv.core' 2024-03-06 23:02:41,655 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_pkg.core' 2024-03-06 23:02:41,656 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom.core' 2024-03-06 23:02:41,657 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_adv.core' 2024-03-06 23:02:41,658 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_pkg.core' 2024-03-06 23:02:41,659 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_secded.core' 2024-03-06 23:02:41,660 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_subreg.core' 2024-03-06 23:02:41,661 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_usb_diff_rx.core' 2024-03-06 23:02:41,662 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util.core' 2024-03-06 23:02:41,663 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_get_scramble_params.core' 2024-03-06 23:02:41,664 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_memload.core' 2024-03-06 23:02:41,665 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_xor2.core' 2024-03-06 23:02:41,666 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/primgen.core' 2024-03-06 23:02:41,667 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_flash.md' 2024-03-06 23:02:41,668 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_keccak.md' 2024-03-06 23:02:41,669 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_lfsr.md' 2024-03-06 23:02:41,670 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer.md' 2024-03-06 23:02:41,671 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer_fifo.md' 2024-03-06 23:02:41,672 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_present.md' 2024-03-06 23:02:41,673 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_prince.md' 2024-03-06 23:02:41,675 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core' 2024-03-06 23:02:41,675 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson' 2024-03-06 23:02:41,677 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el' 2024-03-06 23:02:41,678 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg' 2024-03-06 23:02:41,679 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb/prim_lfsr_tb.sv' 2024-03-06 23:02:41,680 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim.core' 2024-03-06 23:02:41,681 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson' 2024-03-06 23:02:41,682 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/boxes.inc' 2024-03-06 23:02:41,683 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/comline.inc' 2024-03-06 23:02:41,684 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.c' 2024-03-06 23:02:41,685 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core' 2024-03-06 23:02:41,686 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv' 2024-03-06 23:02:41,687 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/present.inc' 2024-03-06 23:02:41,689 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/verbose.inc' 2024-03-06 23:02:41,690 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data/prim_present_cover.cfg' 2024-03-06 23:02:41,691 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb/prim_present_tb.sv' 2024-03-06 23:02:41,692 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim.core' 2024-03-06 23:02:41,693 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson' 2024-03-06 23:02:41,694 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c' 2024-03-06 23:02:41,695 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core' 2024-03-06 23:02:41,696 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv' 2024-03-06 23:02:41,697 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson' 2024-03-06 23:02:41,698 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core' 2024-03-06 23:02:41,699 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h' 2024-03-06 23:02:41,701 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg' 2024-03-06 23:02:41,702 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv' 2024-03-06 23:02:41,703 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc' 2024-03-06 23:02:41,705 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core' 2024-03-06 23:02:41,706 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h' 2024-03-06 23:02:41,707 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.c' 2024-03-06 23:02:41,708 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.core' 2024-03-06 23:02:41,709 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.h' 2024-03-06 23:02:41,710 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core' 2024-03-06 23:02:41,711 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fpv.core' 2024-03-06 23:02:41,712 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core' 2024-03-06 23:02:41,713 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fpv.core' 2024-03-06 23:02:41,714 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_fixed_fpv.core' 2024-03-06 23:02:41,715 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_ppc_fpv.core' 2024-03-06 23:02:41,716 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_tree_fpv.core' 2024-03-06 23:02:41,717 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_esc_rxtx_fpv.core' 2024-03-06 23:02:41,718 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_fifo_sync_fpv.core' 2024-03-06 23:02:41,719 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_keccak_fpv.core' 2024-03-06 23:02:41,720 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_lfsr_fpv.core' 2024-03-06 23:02:41,721 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_packer_fpv.core' 2024-03-06 23:02:41,722 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_22_16_fpv.core' 2024-03-06 23:02:41,723 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_28_22_fpv.core' 2024-03-06 23:02:41,724 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_39_32_fpv.core' 2024-03-06 23:02:41,725 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_64_57_fpv.core' 2024-03-06 23:02:41,726 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_72_64_fpv.core' 2024-03-06 23:02:41,727 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core' 2024-03-06 23:02:41,728 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core' 2024-03-06 23:02:41,729 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core' 2024-03-06 23:02:41,730 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv' 2024-03-06 23:02:41,731 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv' 2024-03-06 23:02:41,732 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_fpv.sv' 2024-03-06 23:02:41,733 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv' 2024-03-06 23:02:41,734 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv' 2024-03-06 23:02:41,735 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv' 2024-03-06 23:02:41,736 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_fpv.sv' 2024-03-06 23:02:41,737 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv' 2024-03-06 23:02:41,738 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_fixed_fpv.sv' 2024-03-06 23:02:41,739 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_ppc_fpv.sv' 2024-03-06 23:02:41,740 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_tree_fpv.sv' 2024-03-06 23:02:41,741 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv' 2024-03-06 23:02:41,742 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv' 2024-03-06 23:02:41,743 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv' 2024-03-06 23:02:41,744 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_fpv.sv' 2024-03-06 23:02:41,745 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_keccak_fpv.sv' 2024-03-06 23:02:41,746 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_lfsr_fpv.sv' 2024-03-06 23:02:41,747 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_packer_fpv.sv' 2024-03-06 23:02:41,748 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv' 2024-03-06 23:02:41,749 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_fpv.sv' 2024-03-06 23:02:41,750 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv' 2024-03-06 23:02:41,751 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_fpv.sv' 2024-03-06 23:02:41,752 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv' 2024-03-06 23:02:41,753 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_fpv.sv' 2024-03-06 23:02:41,754 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv' 2024-03-06 23:02:41,755 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_fpv.sv' 2024-03-06 23:02:41,756 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv' 2024-03-06 23:02:41,757 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_fpv.sv' 2024-03-06 23:02:41,758 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv' 2024-03-06 23:02:41,759 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_fpv.sv' 2024-03-06 23:02:41,760 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv' 2024-03-06 23:02:41,761 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_fpv.sv' 2024-03-06 23:02:41,762 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv' 2024-03-06 23:02:41,763 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_fpv.sv' 2024-03-06 23:02:41,764 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv' 2024-03-06 23:02:41,765 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv' 2024-03-06 23:02:41,766 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv' 2024-03-06 23:02:41,767 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv' 2024-03-06 23:02:41,768 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv' 2024-03-06 23:02:41,769 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv' 2024-03-06 23:02:41,770 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv' 2024-03-06 23:02:41,771 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv' 2024-03-06 23:02:41,772 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv' 2024-03-06 23:02:41,773 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv' 2024-03-06 23:02:41,774 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv' 2024-03-06 23:02:41,775 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv' 2024-03-06 23:02:41,776 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.vlt' 2024-03-06 23:02:41,777 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.waiver' 2024-03-06 23:02:41,778 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.vlt' 2024-03-06 23:02:41,779 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.waiver' 2024-03-06 23:02:41,780 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_buf.waiver' 2024-03-06 23:02:41,781 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_cipher_pkg.waiver' 2024-03-06 23:02:41,782 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_buf.waiver' 2024-03-06 23:02:41,783 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_div.waiver' 2024-03-06 23:02:41,784 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_gating.waiver' 2024-03-06 23:02:41,785 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_inv.waiver' 2024-03-06 23:02:41,786 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_mux2.waiver' 2024-03-06 23:02:41,787 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.vlt' 2024-03-06 23:02:41,788 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.waiver' 2024-03-06 23:02:41,789 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flash.waiver' 2024-03-06 23:02:41,790 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop.waiver' 2024-03-06 23:02:41,791 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_2sync.waiver' 2024-03-06 23:02:41,792 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_en.waiver' 2024-03-06 23:02:41,793 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_otp.waiver' 2024-03-06 23:02:41,794 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_attr.waiver' 2024-03-06 23:02:41,795 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_wrapper.waiver' 2024-03-06 23:02:41,796 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p.waiver' 2024-03-06 23:02:41,797 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_adv.waiver' 2024-03-06 23:02:41,798 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_scr.vlt' 2024-03-06 23:02:41,799 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_2p.waiver' 2024-03-06 23:02:41,800 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_rom.waiver' 2024-03-06 23:02:41,801 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.vlt' 2024-03-06 23:02:41,802 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.waiver' 2024-03-06 23:02:41,803 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_usb_diff_rx.waiver' 2024-03-06 23:02:41,804 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/README.md' 2024-03-06 23:02:41,805 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core' 2024-03-06 23:02:41,806 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc' 2024-03-06 23:02:41,807 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv' 2024-03-06 23:02:41,809 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_pkg.sv' 2024-03-06 23:02:41,810 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_receiver.sv' 2024-03-06 23:02:41,811 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_sender.sv' 2024-03-06 23:02:41,812 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_fixed.sv' 2024-03-06 23:02:41,813 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_ppc.sv' 2024-03-06 23:02:41,814 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_tree.sv' 2024-03-06 23:02:41,816 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert.sv' 2024-03-06 23:02:41,817 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_dummy_macros.svh' 2024-03-06 23:02:41,818 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_standard_macros.svh' 2024-03-06 23:02:41,819 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_yosys_macros.svh' 2024-03-06 23:02:41,820 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_cipher_pkg.sv' 2024-03-06 23:02:41,821 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_div.sv' 2024-03-06 23:02:41,822 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_gating_sync.sv' 2024-03-06 23:02:41,823 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_diff_decode.sv' 2024-03-06 23:02:41,824 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_dom_and_2share.sv' 2024-03-06 23:02:41,825 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_edn_req.sv' 2024-03-06 23:02:41,826 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_pkg.sv' 2024-03-06 23:02:41,827 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_receiver.sv' 2024-03-06 23:02:41,828 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_sender.sv' 2024-03-06 23:02:41,830 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_async.sv' 2024-03-06 23:02:41,831 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_sync.sv' 2024-03-06 23:02:41,832 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter.sv' 2024-03-06 23:02:41,833 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter_ctr.sv' 2024-03-06 23:02:41,834 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gate_gen.sv' 2024-03-06 23:02:41,835 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gf_mult.sv' 2024-03-06 23:02:41,836 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_intr_hw.sv' 2024-03-06 23:02:41,837 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_keccak.sv' 2024-03-06 23:02:41,838 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_dec.sv' 2024-03-06 23:02:41,839 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sender.sv' 2024-03-06 23:02:41,840 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sync.sv' 2024-03-06 23:02:41,841 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lfsr.sv' 2024-03-06 23:02:41,842 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_msb_extend.sv' 2024-03-06 23:02:41,843 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_multibit_sync.sv' 2024-03-06 23:02:41,844 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_otp_pkg.sv' 2024-03-06 23:02:41,845 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer.sv' 2024-03-06 23:02:41,846 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer_fifo.sv' 2024-03-06 23:02:41,847 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pad_wrapper_pkg.sv' 2024-03-06 23:02:41,848 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_present.sv' 2024-03-06 23:02:41,850 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_prince.sv' 2024-03-06 23:02:41,851 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pulse_sync.sv' 2024-03-06 23:02:41,852 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_adv.sv' 2024-03-06 23:02:41,853 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_pkg.sv' 2024-03-06 23:02:41,854 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_scr.sv' 2024-03-06 23:02:41,855 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_adv.sv' 2024-03-06 23:02:41,856 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_async_adv.sv' 2024-03-06 23:02:41,857 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_pkg.sv' 2024-03-06 23:02:41,858 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_adv.sv' 2024-03-06 23:02:41,859 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_pkg.sv' 2024-03-06 23:02:41,860 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_dec.sv' 2024-03-06 23:02:41,861 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_enc.sv' 2024-03-06 23:02:41,862 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_dec.sv' 2024-03-06 23:02:41,863 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_enc.sv' 2024-03-06 23:02:41,864 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_dec.sv' 2024-03-06 23:02:41,865 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_enc.sv' 2024-03-06 23:02:41,866 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_dec.sv' 2024-03-06 23:02:41,867 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_enc.sv' 2024-03-06 23:02:41,868 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_dec.sv' 2024-03-06 23:02:41,869 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_enc.sv' 2024-03-06 23:02:41,870 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv' 2024-03-06 23:02:41,871 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv' 2024-03-06 23:02:41,872 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv' 2024-03-06 23:02:41,873 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv' 2024-03-06 23:02:41,874 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv' 2024-03-06 23:02:41,875 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv' 2024-03-06 23:02:41,876 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_pkg.sv' 2024-03-06 23:02:41,878 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_slicer.sv' 2024-03-06 23:02:41,879 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sram_arbiter.sv' 2024-03-06 23:02:41,880 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg.sv' 2024-03-06 23:02:41,881 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_arb.sv' 2024-03-06 23:02:41,882 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_ext.sv' 2024-03-06 23:02:41,883 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_shadow.sv' 2024-03-06 23:02:41,884 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subst_perm.sv' 2024-03-06 23:02:41,885 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack.sv' 2024-03-06 23:02:41,886 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack_data.sv' 2024-03-06 23:02:41,887 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_slow_fast.sv' 2024-03-06 23:02:41,888 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_get_scramble_params.svh' 2024-03-06 23:02:41,889 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_memload.svh' 2024-03-06 23:02:41,890 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_pkg.sv' 2024-03-06 23:02:41,891 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen.py' 2024-03-06 23:02:41,892 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/abstract_prim.sv.tpl' 2024-03-06 23:02:41,893 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.core.tpl' 2024-03-06 23:02:41,894 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.sv.tpl' 2024-03-06 23:02:41,896 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson' 2024-03-06 23:02:41,897 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson' 2024-03-06 23:02:41,898 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/BUILD' 2024-03-06 23:02:41,899 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_modules.py' 2024-03-06 23:02:41,900 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_tree.py' 2024-03-06 23:02:41,901 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax.py' 2024-03-06 23:02:41,902 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax_test.py' 2024-03-06 23:02:41,903 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_buf.core' 2024-03-06 23:02:41,904 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_buf.core' 2024-03-06 23:02:41,905 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_gating.core' 2024-03-06 23:02:41,906 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_inv.core' 2024-03-06 23:02:41,907 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_mux2.core' 2024-03-06 23:02:41,908 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flash.core' 2024-03-06 23:02:41,909 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop.core' 2024-03-06 23:02:41,910 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_2sync.core' 2024-03-06 23:02:41,911 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_en.core' 2024-03-06 23:02:41,912 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_otp.core' 2024-03-06 23:02:41,913 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_attr.core' 2024-03-06 23:02:41,914 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_wrapper.core' 2024-03-06 23:02:41,915 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_1p.core' 2024-03-06 23:02:41,916 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_2p.core' 2024-03-06 23:02:41,917 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_rom.core' 2024-03-06 23:02:41,918 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_usb_diff_rx.core' 2024-03-06 23:02:41,919 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_xor2.core' 2024-03-06 23:02:41,920 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.vlt' 2024-03-06 23:02:41,921 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.waiver' 2024-03-06 23:02:41,922 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.vlt' 2024-03-06 23:02:41,923 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.waiver' 2024-03-06 23:02:41,924 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.vlt' 2024-03-06 23:02:41,926 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.waiver' 2024-03-06 23:02:41,927 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.vlt' 2024-03-06 23:02:41,928 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.waiver' 2024-03-06 23:02:41,929 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt' 2024-03-06 23:02:41,930 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver' 2024-03-06 23:02:41,931 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.vlt' 2024-03-06 23:02:41,932 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.waiver' 2024-03-06 23:02:41,933 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.vlt' 2024-03-06 23:02:41,934 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.waiver' 2024-03-06 23:02:41,935 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.vlt' 2024-03-06 23:02:41,936 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.waiver' 2024-03-06 23:02:41,937 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_buf.sv' 2024-03-06 23:02:41,938 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_buf.sv' 2024-03-06 23:02:41,939 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_gating.sv' 2024-03-06 23:02:41,940 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_inv.sv' 2024-03-06 23:02:41,941 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_mux2.sv' 2024-03-06 23:02:41,942 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash.sv' 2024-03-06 23:02:41,943 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash_bank.sv' 2024-03-06 23:02:41,944 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop.sv' 2024-03-06 23:02:41,945 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_2sync.sv' 2024-03-06 23:02:41,946 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_en.sv' 2024-03-06 23:02:41,947 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_otp.sv' 2024-03-06 23:02:41,948 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_attr.sv' 2024-03-06 23:02:41,949 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv' 2024-03-06 23:02:41,950 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_1p.sv' 2024-03-06 23:02:41,951 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_2p.sv' 2024-03-06 23:02:41,952 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_rom.sv' 2024-03-06 23:02:41,953 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv' 2024-03-06 23:02:41,954 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_xor2.sv' 2024-03-06 23:02:41,955 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_buf.core' 2024-03-06 23:02:41,956 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_buf.core' 2024-03-06 23:02:41,957 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_gating.core' 2024-03-06 23:02:41,958 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_mux2.core' 2024-03-06 23:02:41,959 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop.core' 2024-03-06 23:02:41,960 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop_en.core' 2024-03-06 23:02:41,961 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_attr.core' 2024-03-06 23:02:41,962 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_wrapper.core' 2024-03-06 23:02:41,963 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_xor2.core' 2024-03-06 23:02:41,964 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt' 2024-03-06 23:02:41,965 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver' 2024-03-06 23:02:41,966 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt' 2024-03-06 23:02:41,967 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver' 2024-03-06 23:02:41,968 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt' 2024-03-06 23:02:41,969 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver' 2024-03-06 23:02:41,970 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt' 2024-03-06 23:02:41,971 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver' 2024-03-06 23:02:41,972 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_buf.sv' 2024-03-06 23:02:41,973 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv' 2024-03-06 23:02:41,974 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv' 2024-03-06 23:02:41,975 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv' 2024-03-06 23:02:41,976 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop.sv' 2024-03-06 23:02:41,977 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv' 2024-03-06 23:02:41,978 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv' 2024-03-06 23:02:41,979 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv' 2024-03-06 23:02:41,980 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv' 2024-03-06 23:02:41,981 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/common.core' 2024-03-06 23:02:41,982 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/comportable.core' 2024-03-06 23:02:41,983 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc/README.md' 2024-03-06 23:02:41,984 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/ascentlint-config.tcl' 2024-03-06 23:02:41,985 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/common.waiver' 2024-03-06 23:02:41,986 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/comportable.waiver' 2024-03-06 23:02:41,987 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/parse-lint-report.py' 2024-03-06 23:02:41,989 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/ascentlint.hjson' 2024-03-06 23:02:41,989 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/common_lint_cfg.hjson' 2024-03-06 23:02:41,990 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/lint.mk' 2024-03-06 23:02:41,991 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/veriblelint.hjson' 2024-03-06 23:02:41,992 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/verilator.hjson' 2024-03-06 23:02:41,994 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/parse-lint-report.py' 2024-03-06 23:02:41,995 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/rules.vbl' 2024-03-06 23:02:41,996 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/common.vlt' 2024-03-06 23:02:41,997 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/comportable.vlt' 2024-03-06 23:02:41,998 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/parse-lint-report.py' 2024-03-06 23:02:41,999 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgFactory.py' 2024-03-06 23:02:42,000 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgJson.py' 2024-03-06 23:02:42,001 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Deploy.py' 2024-03-06 23:02:42,003 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FlowCfg.py' 2024-03-06 23:02:42,004 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FormalCfg.py' 2024-03-06 23:02:42,005 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Launcher.py' 2024-03-06 23:02:42,006 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LauncherFactory.py' 2024-03-06 23:02:42,007 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LintCfg.py' 2024-03-06 23:02:42,009 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LocalLauncher.py' 2024-03-06 23:02:42,010 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LsfLauncher.py' 2024-03-06 23:02:42,011 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Makefile' 2024-03-06 23:02:42,012 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Modes.py' 2024-03-06 23:02:42,013 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/OneShotCfg.py' 2024-03-06 23:02:42,014 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Scheduler.py' 2024-03-06 23:02:42,016 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimCfg.py' 2024-03-06 23:02:42,017 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimResults.py' 2024-03-06 23:02:42,018 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/StatusPrinter.py' 2024-03-06 23:02:42,019 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SynCfg.py' 2024-03-06 23:02:42,021 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Testplan.py' 2024-03-06 23:02:42,022 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Timer.py' 2024-03-06 23:02:42,023 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__init__.py' 2024-03-06 23:02:42,024 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/dvsim.py' 2024-03-06 23:02:42,025 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/sim_utils.py' 2024-03-06 23:02:42,026 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/style.css' 2024-03-06 23:02:42,027 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/testplanner.py' 2024-03-06 23:02:42,028 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils.py' 2024-03-06 23:02:42,030 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils_test.py' 2024-03-06 23:02:42,031 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc/testplanner.md' 2024-03-06 23:02:42,032 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/common_testplan.hjson' 2024-03-06 23:02:42,033 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_dv_doc.md' 2024-03-06 23:02:42,034 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_sim_results.hjson' 2024-03-06 23:02:42,035 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_testplan.hjson' 2024-03-06 23:02:42,037 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md' 2024-03-06 23:02:42,038 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md.tpl' 2024-03-06 23:02:42,039 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__init__.py' 2024-03-06 23:02:42,040 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.core.tpl' 2024-03-06 23:02:42,041 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.sv.tpl' 2024-03-06 23:02:42,042 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cfg.sv.tpl' 2024-03-06 23:02:42,043 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cov.sv.tpl' 2024-03-06 23:02:42,044 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_pkg.sv.tpl' 2024-03-06 23:02:42,045 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_seq.sv.tpl' 2024-03-06 23:02:42,046 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_test.sv.tpl' 2024-03-06 23:02:42,047 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_vseq.sv.tpl' 2024-03-06 23:02:42,048 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/bind.sv.tpl' 2024-03-06 23:02:42,049 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/checklist.md.tpl' 2024-03-06 23:02:42,050 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/common_vseq.sv.tpl' 2024-03-06 23:02:42,051 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/cov_excl.el.tpl' 2024-03-06 23:02:42,052 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/device_driver.sv.tpl' 2024-03-06 23:02:42,053 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/driver.sv.tpl' 2024-03-06 23:02:42,054 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.core.tpl' 2024-03-06 23:02:42,055 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.sv.tpl' 2024-03-06 23:02:42,056 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cfg.sv.tpl' 2024-03-06 23:02:42,057 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cov.sv.tpl' 2024-03-06 23:02:42,058 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_pkg.sv.tpl' 2024-03-06 23:02:42,059 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_agent.py' 2024-03-06 23:02:42,060 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_env.py' 2024-03-06 23:02:42,061 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/host_driver.sv.tpl' 2024-03-06 23:02:42,062 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/if.sv.tpl' 2024-03-06 23:02:42,063 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/index.md.tpl' 2024-03-06 23:02:42,064 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/item.sv.tpl' 2024-03-06 23:02:42,065 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/monitor.sv.tpl' 2024-03-06 23:02:42,066 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/scoreboard.sv.tpl' 2024-03-06 23:02:42,067 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/seq_list.sv.tpl' 2024-03-06 23:02:42,068 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim.core.tpl' 2024-03-06 23:02:42,069 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim_cfg.hjson.tpl' 2024-03-06 23:02:42,070 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/smoke_vseq.sv.tpl' 2024-03-06 23:02:42,071 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sva.core.tpl' 2024-03-06 23:02:42,072 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/tb.sv.tpl' 2024-03-06 23:02:42,073 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test.core.tpl' 2024-03-06 23:02:42,074 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test_pkg.sv.tpl' 2024-03-06 23:02:42,075 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/testplan.hjson.tpl' 2024-03-06 23:02:42,076 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/uvmdvgen.py' 2024-03-06 23:02:42,077 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/virtual_sequencer.sv.tpl' 2024-03-06 23:02:42,078 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/vseq_list.sv.tpl' 2024-03-06 23:02:42,079 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark/0001-no-minimum-run-time.patch' 2024-03-06 23:02:42,080 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv/0001-csr-test-start-addr.patch' 2024-03-06 23:02:42,081 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib/0001-use-ibex-bus-params.patch' 2024-03-06 23:02:42,082 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools/0001-common-sim-cfg.patch' 2024-03-06 23:02:42,083 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils/0001-use-ibex-bus-params.patch' 2024-03-06 23:02:42,085 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/LICENSE' 2024-03-06 23:02:42,086 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/METADATA' 2024-03-06 23:02:42,087 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/WHEEL' 2024-03-06 23:02:42,087 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/top_level.txt' 2024-03-06 23:02:42,134 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/RECORD' 2024-03-06 23:02:42,168 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:02:42,258 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_ibex-0.0.post2214-py3-none-any.whl pythondata_cpu_ibex-0.0.post2214-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-lm32 2024-03-06 23:02:43,404 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:02:43,605 root INFO running bdist_wheel 2024-03-06 23:02:43,688 root INFO running build 2024-03-06 23:02:43,689 root INFO running build_py 2024-03-06 23:02:43,701 root INFO creating build 2024-03-06 23:02:43,701 root INFO creating build/lib 2024-03-06 23:02:43,702 root INFO creating build/lib/pythondata_cpu_lm32 2024-03-06 23:02:43,702 root INFO copying pythondata_cpu_lm32/__init__.py -> build/lib/pythondata_cpu_lm32 2024-03-06 23:02:43,707 root INFO running egg_info 2024-03-06 23:02:43,707 root INFO creating pythondata_cpu_lm32.egg-info 2024-03-06 23:02:43,715 root INFO writing pythondata_cpu_lm32.egg-info/PKG-INFO 2024-03-06 23:02:43,718 root INFO writing dependency_links to pythondata_cpu_lm32.egg-info/dependency_links.txt 2024-03-06 23:02:43,719 root INFO writing top-level names to pythondata_cpu_lm32.egg-info/top_level.txt 2024-03-06 23:02:43,720 root INFO writing manifest file 'pythondata_cpu_lm32.egg-info/SOURCES.txt' 2024-03-06 23:02:43,736 root INFO reading manifest file 'pythondata_cpu_lm32.egg-info/SOURCES.txt' 2024-03-06 23:02:43,737 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:02:43,747 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:02:43,757 root INFO writing manifest file 'pythondata_cpu_lm32.egg-info/SOURCES.txt' 2024-03-06 23:02:43,777 root INFO creating build/lib/pythondata_cpu_lm32/verilog 2024-03-06 23:02:43,778 root INFO copying pythondata_cpu_lm32/verilog/LICENSE.LATTICE -> build/lib/pythondata_cpu_lm32/verilog 2024-03-06 23:02:43,779 root INFO copying pythondata_cpu_lm32/verilog/README -> build/lib/pythondata_cpu_lm32/verilog 2024-03-06 23:02:43,780 root INFO creating build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,780 root INFO copying pythondata_cpu_lm32/verilog/rtl/jtag_cores.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,781 root INFO copying pythondata_cpu_lm32/verilog/rtl/jtag_tap_spartan6.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,782 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_adder.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,783 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_addsub.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,784 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_config.v.sample -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,785 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_cpu.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,786 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_dcache.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,787 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_debug.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,788 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_decoder.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,789 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_dp_ram.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,790 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_dtlb.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,791 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_icache.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,792 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_include.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,793 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_instruction_unit.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,794 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_interrupt.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,795 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_itlb.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,796 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_jtag.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,797 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_load_store_unit.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,798 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_logic_op.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,799 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_mc_arithmetic.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,800 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_multiplier.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,801 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_ram.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,802 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_shifter.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,802 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_top.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,803 root INFO creating build/lib/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:43,804 root INFO copying pythondata_cpu_lm32/verilog/test/.gitignore -> build/lib/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:43,805 root INFO copying pythondata_cpu_lm32/verilog/test/Makefile -> build/lib/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:43,806 root INFO copying pythondata_cpu_lm32/verilog/test/crt.S -> build/lib/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:43,807 root INFO copying pythondata_cpu_lm32/verilog/test/hello_world.c -> build/lib/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:43,807 root INFO copying pythondata_cpu_lm32/verilog/test/linker.ld -> build/lib/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:43,808 root INFO copying pythondata_cpu_lm32/verilog/test/lm32_config.v -> build/lib/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:43,809 root INFO copying pythondata_cpu_lm32/verilog/test/pipe1.S -> build/lib/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:43,810 root INFO copying pythondata_cpu_lm32/verilog/test/tb_lm32_system.v -> build/lib/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:43,811 root INFO creating build/lib/pythondata_cpu_lm32/verilog/doc 2024-03-06 23:02:43,812 root INFO copying pythondata_cpu_lm32/verilog/doc/Makefile -> build/lib/pythondata_cpu_lm32/verilog/doc 2024-03-06 23:02:43,812 root INFO copying pythondata_cpu_lm32/verilog/doc/mmu.rst -> build/lib/pythondata_cpu_lm32/verilog/doc 2024-03-06 23:02:43,813 root INFO creating build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,814 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/.gitignore -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,815 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/Makefile -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,816 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/crt.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,817 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/linker.ld -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,817 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/macros.inc -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,818 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_add.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,819 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_addi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,820 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_and.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,821 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_andhi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,822 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_andi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,822 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_b.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,823 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_be.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,824 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bg.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,825 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bge.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,826 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bgeu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,827 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bgu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,827 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,828 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bne.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,829 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_break.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,830 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bret.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,831 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_call.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,832 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_calli.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,833 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpe.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,834 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpei.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,834 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpg.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,835 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpge.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,836 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgei.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,837 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,838 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeui.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,839 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,840 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,840 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgui.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,841 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpne.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,842 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpnei.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,843 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_divu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,844 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_eret.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,845 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lb.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,845 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lbu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,846 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lh.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,847 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lhu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,848 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lw.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,849 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_mmu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,850 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_modu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,850 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_mul.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,851 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_muli.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,852 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_nor.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,853 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_nori.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,854 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_or.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,855 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_orhi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,856 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_ori.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,856 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_ret.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,857 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sb.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,858 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_scall.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,859 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sextb.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,860 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sexth.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,861 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sh.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,862 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sl.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,862 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sli.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,863 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sr.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,864 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sri.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,865 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sru.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,866 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_srui.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,867 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sub.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,867 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sw.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,868 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_xnor.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,869 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_xnori.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,870 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_xor.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,871 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_xori.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:43,899 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:02:43,899 root INFO running install 2024-03-06 23:02:43,976 root INFO running install_lib 2024-03-06 23:02:43,986 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:02:43,986 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:02:43,987 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32 2024-03-06 23:02:43,987 root INFO copying build/lib/pythondata_cpu_lm32/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32 2024-03-06 23:02:43,988 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog 2024-03-06 23:02:43,989 root INFO copying build/lib/pythondata_cpu_lm32/verilog/LICENSE.LATTICE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog 2024-03-06 23:02:43,990 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,990 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_instruction_unit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,991 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_jtag.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,992 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_decoder.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,993 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/jtag_tap_spartan6.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,993 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_config.v.sample -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,994 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_multiplier.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,995 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_dcache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,996 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_itlb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,996 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_include.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,997 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_interrupt.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,998 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_addsub.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:43,999 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_shifter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,000 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_mc_arithmetic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,000 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_ram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,001 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_cpu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,002 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_load_store_unit.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,003 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_logic_op.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,004 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_debug.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,005 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/jtag_cores.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,005 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_dtlb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,006 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,007 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_icache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,008 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_adder.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,008 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_dp_ram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-03-06 23:02:44,009 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:44,010 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/pipe1.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:44,011 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/lm32_config.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:44,011 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:44,012 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/hello_world.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:44,013 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/linker.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:44,014 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,014 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_xnor.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,015 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgei.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,016 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpe.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,017 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_nori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,017 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,018 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sru.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,019 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_divu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,020 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_calli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,020 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_mul.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,021 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_add.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,022 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/macros.inc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,023 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_ori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,023 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_xori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,024 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_be.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,025 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sextb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,026 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sri.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,026 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sl.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,027 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpg.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,028 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lbu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,029 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_muli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,029 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bgu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,030 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,031 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpei.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,032 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,032 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_and.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,033 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_modu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,034 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,035 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_ret.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,035 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,036 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bret.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,037 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,038 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_andhi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,038 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,039 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_nor.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,040 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpnei.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,041 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_xnori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,041 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,042 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_scall.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,043 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,044 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,044 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/linker.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,045 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bne.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,046 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_addi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,047 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_b.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,047 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,048 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeui.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,049 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_orhi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,050 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,050 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_call.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,051 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpge.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,052 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,053 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bgeu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,053 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bg.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,054 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_eret.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,055 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_mmu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,056 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_xor.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,057 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpne.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,057 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sexth.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,058 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_or.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,059 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,060 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sub.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,060 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,061 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgui.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,062 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_srui.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,063 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/crt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,063 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bge.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,064 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_andi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,065 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_break.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-03-06 23:02:44,066 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/tb_lm32_system.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:44,066 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:44,067 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/crt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/test 2024-03-06 23:02:44,068 root INFO copying build/lib/pythondata_cpu_lm32/verilog/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog 2024-03-06 23:02:44,069 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/doc 2024-03-06 23:02:44,069 root INFO copying build/lib/pythondata_cpu_lm32/verilog/doc/mmu.rst -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/doc 2024-03-06 23:02:44,070 root INFO copying build/lib/pythondata_cpu_lm32/verilog/doc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32/verilog/doc 2024-03-06 23:02:44,071 root INFO running install_egg_info 2024-03-06 23:02:44,089 root INFO Copying pythondata_cpu_lm32.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32-0.0.post106-py3.11.egg-info 2024-03-06 23:02:44,093 root INFO running install_scripts 2024-03-06 23:02:44,101 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_lm32-0.0.post106.dist-info/WHEEL 2024-03-06 23:02:44,105 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-tctei7sn/pythondata_cpu_lm32-0.0.post106-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:02:44,107 wheel INFO adding 'pythondata_cpu_lm32/__init__.py' 2024-03-06 23:02:44,112 wheel INFO adding 'pythondata_cpu_lm32/verilog/LICENSE.LATTICE' 2024-03-06 23:02:44,114 wheel INFO adding 'pythondata_cpu_lm32/verilog/README' 2024-03-06 23:02:44,115 wheel INFO adding 'pythondata_cpu_lm32/verilog/doc/Makefile' 2024-03-06 23:02:44,116 wheel INFO adding 'pythondata_cpu_lm32/verilog/doc/mmu.rst' 2024-03-06 23:02:44,118 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/jtag_cores.v' 2024-03-06 23:02:44,119 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/jtag_tap_spartan6.v' 2024-03-06 23:02:44,120 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_adder.v' 2024-03-06 23:02:44,121 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_addsub.v' 2024-03-06 23:02:44,122 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_config.v.sample' 2024-03-06 23:02:44,123 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_cpu.v' 2024-03-06 23:02:44,126 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_dcache.v' 2024-03-06 23:02:44,127 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_debug.v' 2024-03-06 23:02:44,128 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_decoder.v' 2024-03-06 23:02:44,129 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_dp_ram.v' 2024-03-06 23:02:44,131 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_dtlb.v' 2024-03-06 23:02:44,132 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_icache.v' 2024-03-06 23:02:44,133 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_include.v' 2024-03-06 23:02:44,134 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_instruction_unit.v' 2024-03-06 23:02:44,136 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_interrupt.v' 2024-03-06 23:02:44,137 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_itlb.v' 2024-03-06 23:02:44,138 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_jtag.v' 2024-03-06 23:02:44,139 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_load_store_unit.v' 2024-03-06 23:02:44,141 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_logic_op.v' 2024-03-06 23:02:44,142 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_mc_arithmetic.v' 2024-03-06 23:02:44,143 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_multiplier.v' 2024-03-06 23:02:44,144 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_ram.v' 2024-03-06 23:02:44,145 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_shifter.v' 2024-03-06 23:02:44,146 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_top.v' 2024-03-06 23:02:44,147 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/.gitignore' 2024-03-06 23:02:44,148 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/Makefile' 2024-03-06 23:02:44,149 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/crt.S' 2024-03-06 23:02:44,150 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/hello_world.c' 2024-03-06 23:02:44,151 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/linker.ld' 2024-03-06 23:02:44,152 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/lm32_config.v' 2024-03-06 23:02:44,153 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/pipe1.S' 2024-03-06 23:02:44,154 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/tb_lm32_system.v' 2024-03-06 23:02:44,156 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/.gitignore' 2024-03-06 23:02:44,157 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/Makefile' 2024-03-06 23:02:44,158 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/crt.S' 2024-03-06 23:02:44,159 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/linker.ld' 2024-03-06 23:02:44,160 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/macros.inc' 2024-03-06 23:02:44,161 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_add.S' 2024-03-06 23:02:44,162 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_addi.S' 2024-03-06 23:02:44,162 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_and.S' 2024-03-06 23:02:44,163 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_andhi.S' 2024-03-06 23:02:44,164 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_andi.S' 2024-03-06 23:02:44,165 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_b.S' 2024-03-06 23:02:44,166 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_be.S' 2024-03-06 23:02:44,167 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bg.S' 2024-03-06 23:02:44,168 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bge.S' 2024-03-06 23:02:44,169 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bgeu.S' 2024-03-06 23:02:44,170 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bgu.S' 2024-03-06 23:02:44,171 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bi.S' 2024-03-06 23:02:44,172 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bne.S' 2024-03-06 23:02:44,173 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_break.S' 2024-03-06 23:02:44,174 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bret.S' 2024-03-06 23:02:44,175 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_call.S' 2024-03-06 23:02:44,176 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_calli.S' 2024-03-06 23:02:44,177 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpe.S' 2024-03-06 23:02:44,178 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpei.S' 2024-03-06 23:02:44,179 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpg.S' 2024-03-06 23:02:44,179 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpge.S' 2024-03-06 23:02:44,180 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgei.S' 2024-03-06 23:02:44,181 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeu.S' 2024-03-06 23:02:44,182 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeui.S' 2024-03-06 23:02:44,183 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgi.S' 2024-03-06 23:02:44,184 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgu.S' 2024-03-06 23:02:44,185 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgui.S' 2024-03-06 23:02:44,186 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpne.S' 2024-03-06 23:02:44,187 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpnei.S' 2024-03-06 23:02:44,188 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_divu.S' 2024-03-06 23:02:44,189 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_eret.S' 2024-03-06 23:02:44,190 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lb.S' 2024-03-06 23:02:44,191 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lbu.S' 2024-03-06 23:02:44,192 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lh.S' 2024-03-06 23:02:44,193 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lhu.S' 2024-03-06 23:02:44,193 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lw.S' 2024-03-06 23:02:44,194 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_mmu.S' 2024-03-06 23:02:44,196 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_modu.S' 2024-03-06 23:02:44,196 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_mul.S' 2024-03-06 23:02:44,197 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_muli.S' 2024-03-06 23:02:44,198 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_nor.S' 2024-03-06 23:02:44,199 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_nori.S' 2024-03-06 23:02:44,200 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_or.S' 2024-03-06 23:02:44,201 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_orhi.S' 2024-03-06 23:02:44,202 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_ori.S' 2024-03-06 23:02:44,203 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_ret.S' 2024-03-06 23:02:44,204 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sb.S' 2024-03-06 23:02:44,205 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_scall.S' 2024-03-06 23:02:44,206 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sextb.S' 2024-03-06 23:02:44,207 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sexth.S' 2024-03-06 23:02:44,208 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sh.S' 2024-03-06 23:02:44,209 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sl.S' 2024-03-06 23:02:44,210 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sli.S' 2024-03-06 23:02:44,211 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sr.S' 2024-03-06 23:02:44,212 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sri.S' 2024-03-06 23:02:44,213 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sru.S' 2024-03-06 23:02:44,214 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_srui.S' 2024-03-06 23:02:44,215 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sub.S' 2024-03-06 23:02:44,216 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sw.S' 2024-03-06 23:02:44,217 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_xnor.S' 2024-03-06 23:02:44,218 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_xnori.S' 2024-03-06 23:02:44,219 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_xor.S' 2024-03-06 23:02:44,220 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_xori.S' 2024-03-06 23:02:44,221 wheel INFO adding 'pythondata_cpu_lm32-0.0.post106.dist-info/METADATA' 2024-03-06 23:02:44,222 wheel INFO adding 'pythondata_cpu_lm32-0.0.post106.dist-info/WHEEL' 2024-03-06 23:02:44,223 wheel INFO adding 'pythondata_cpu_lm32-0.0.post106.dist-info/top_level.txt' 2024-03-06 23:02:44,227 wheel INFO adding 'pythondata_cpu_lm32-0.0.post106.dist-info/RECORD' 2024-03-06 23:02:44,231 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:02:44,238 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_lm32-0.0.post106-py3-none-any.whl pythondata_cpu_lm32-0.0.post106-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-marocchino 2024-03-06 23:02:45,386 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:02:45,590 root INFO running bdist_wheel 2024-03-06 23:02:45,673 root INFO running build 2024-03-06 23:02:45,674 root INFO running build_py 2024-03-06 23:02:45,686 root INFO creating build 2024-03-06 23:02:45,686 root INFO creating build/lib 2024-03-06 23:02:45,687 root INFO creating build/lib/pythondata_cpu_marocchino 2024-03-06 23:02:45,687 root INFO copying pythondata_cpu_marocchino/__init__.py -> build/lib/pythondata_cpu_marocchino 2024-03-06 23:02:45,693 root INFO running egg_info 2024-03-06 23:02:45,693 root INFO creating pythondata_cpu_marocchino.egg-info 2024-03-06 23:02:45,701 root INFO writing pythondata_cpu_marocchino.egg-info/PKG-INFO 2024-03-06 23:02:45,704 root INFO writing dependency_links to pythondata_cpu_marocchino.egg-info/dependency_links.txt 2024-03-06 23:02:45,705 root INFO writing top-level names to pythondata_cpu_marocchino.egg-info/top_level.txt 2024-03-06 23:02:45,706 root INFO writing manifest file 'pythondata_cpu_marocchino.egg-info/SOURCES.txt' 2024-03-06 23:02:45,723 root INFO reading manifest file 'pythondata_cpu_marocchino.egg-info/SOURCES.txt' 2024-03-06 23:02:45,724 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:02:45,730 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:02:45,731 root INFO adding license file 'LICENSE' 2024-03-06 23:02:45,738 root INFO writing manifest file 'pythondata_cpu_marocchino.egg-info/SOURCES.txt' 2024-03-06 23:02:45,752 root INFO creating build/lib/pythondata_cpu_marocchino/verilog 2024-03-06 23:02:45,752 root INFO copying pythondata_cpu_marocchino/verilog/.travis.yml -> build/lib/pythondata_cpu_marocchino/verilog 2024-03-06 23:02:45,753 root INFO copying pythondata_cpu_marocchino/verilog/Jenkinsfile -> build/lib/pythondata_cpu_marocchino/verilog 2024-03-06 23:02:45,754 root INFO copying pythondata_cpu_marocchino/verilog/LICENSE -> build/lib/pythondata_cpu_marocchino/verilog 2024-03-06 23:02:45,755 root INFO copying pythondata_cpu_marocchino/verilog/README.md -> build/lib/pythondata_cpu_marocchino/verilog 2024-03-06 23:02:45,756 root INFO copying pythondata_cpu_marocchino/verilog/or1k_marocchino.core -> build/lib/pythondata_cpu_marocchino/verilog 2024-03-06 23:02:45,757 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/.travis 2024-03-06 23:02:45,758 root INFO copying pythondata_cpu_marocchino/verilog/.travis/run-or1k-tests.sh -> build/lib/pythondata_cpu_marocchino/verilog/.travis 2024-03-06 23:02:45,759 root INFO copying pythondata_cpu_marocchino/verilog/.travis/run-verilator.sh -> build/lib/pythondata_cpu_marocchino/verilog/.travis 2024-03-06 23:02:45,760 root INFO copying pythondata_cpu_marocchino/verilog/.travis/test.sh -> build/lib/pythondata_cpu_marocchino/verilog/.travis 2024-03-06 23:02:45,760 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/bench 2024-03-06 23:02:45,761 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/bench/verilog 2024-03-06 23:02:45,761 root INFO copying pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_monitor.v -> build/lib/pythondata_cpu_marocchino/verilog/bench/verilog 2024-03-06 23:02:45,762 root INFO copying pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_traceport_monitor.v -> build/lib/pythondata_cpu_marocchino/verilog/bench/verilog 2024-03-06 23:02:45,763 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/rtl 2024-03-06 23:02:45,764 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,764 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_cfgrs.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,765 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_defines.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,766 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_dpram_en_w1st.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,767 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_bus_if_wb32.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,768 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cache_lru.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,769 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cpu.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,770 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ctrl.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,771 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dcache.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,772 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_decode.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,773 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dmmu.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,774 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_fetch.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,775 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_icache.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,776 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_immu.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,777 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_1clk.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,778 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_div.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,779 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_mul.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,780 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_lsu.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,781 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ocb.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,782 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_oman.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,783 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_pic.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,784 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rat_cell.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,785 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rf.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,786 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rsrvs.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,787 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ticktimer.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,788 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_top.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,789 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_spram_en_w1st.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,790 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_sprs.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,791 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_utils.vh -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,792 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,792 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_addsub.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,793 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_cmp.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,794 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_div.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,795 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_f2i.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,796 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_i2f.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,797 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_mul.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,798 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_muldiv.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,799 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_rnd.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,800 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_top.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,801 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/doc 2024-03-06 23:02:45,801 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-03-06 23:02:45,801 root INFO copying pythondata_cpu_marocchino/verilog/doc/readme/fp_comparisons_table.odt -> build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-03-06 23:02:45,802 root INFO copying pythondata_cpu_marocchino/verilog/doc/readme/marrochino_1_goal.txt -> build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-03-06 23:02:45,803 root INFO copying pythondata_cpu_marocchino/verilog/doc/readme/marrochino_2_status.txt -> build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-03-06 23:02:45,804 root INFO copying pythondata_cpu_marocchino/verilog/doc/readme/marrochino_3_how_to.txt -> build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-03-06 23:02:45,833 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:02:45,833 root INFO running install 2024-03-06 23:02:45,908 root INFO running install_lib 2024-03-06 23:02:45,918 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:02:45,918 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:02:45,919 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino 2024-03-06 23:02:45,920 root INFO copying build/lib/pythondata_cpu_marocchino/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino 2024-03-06 23:02:45,921 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog 2024-03-06 23:02:45,921 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/Jenkinsfile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog 2024-03-06 23:02:45,922 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/or1k_marocchino.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog 2024-03-06 23:02:45,923 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/.travis.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog 2024-03-06 23:02:45,923 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog 2024-03-06 23:02:45,924 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/bench 2024-03-06 23:02:45,925 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/bench/verilog 2024-03-06 23:02:45,925 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_monitor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/bench/verilog 2024-03-06 23:02:45,926 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_traceport_monitor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/bench/verilog 2024-03-06 23:02:45,927 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl 2024-03-06 23:02:45,928 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,928 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ctrl.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,929 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_lsu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,930 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_cfgrs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,931 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ocb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,932 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cpu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,933 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_defines.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,934 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,934 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_addsub.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,935 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_rnd.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,936 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_f2i.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,937 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_div.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,938 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_cmp.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,938 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_i2f.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,939 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_muldiv.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,940 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,941 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_mul.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-03-06 23:02:45,942 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rsrvs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,942 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dcache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,943 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dmmu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,944 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,945 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,946 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_pic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,947 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_div.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,947 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_fetch.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,948 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_dpram_en_w1st.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,949 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rf.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,950 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_immu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,951 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rat_cell.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,951 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_spram_en_w1st.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,952 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ticktimer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,953 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cache_lru.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,954 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_sprs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,954 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_1clk.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,955 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_icache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,956 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_mul.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,957 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_bus_if_wb32.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,958 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_utils.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,959 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_oman.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-03-06 23:02:45,960 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/.travis 2024-03-06 23:02:45,960 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/.travis/run-or1k-tests.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/.travis 2024-03-06 23:02:45,961 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/.travis/run-verilator.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/.travis 2024-03-06 23:02:45,962 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/.travis/test.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/.travis 2024-03-06 23:02:45,962 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog 2024-03-06 23:02:45,963 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/doc 2024-03-06 23:02:45,964 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-03-06 23:02:45,964 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/doc/readme/marrochino_1_goal.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-03-06 23:02:45,965 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/doc/readme/fp_comparisons_table.odt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-03-06 23:02:45,966 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/doc/readme/marrochino_2_status.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-03-06 23:02:45,967 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/doc/readme/marrochino_3_how_to.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-03-06 23:02:45,967 root INFO running install_egg_info 2024-03-06 23:02:45,985 root INFO Copying pythondata_cpu_marocchino.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino-0.0.post209-py3.11.egg-info 2024-03-06 23:02:45,989 root INFO running install_scripts 2024-03-06 23:02:45,998 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_marocchino-0.0.post209.dist-info/WHEEL 2024-03-06 23:02:46,001 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-bwaj6g96/pythondata_cpu_marocchino-0.0.post209-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:02:46,004 wheel INFO adding 'pythondata_cpu_marocchino/__init__.py' 2024-03-06 23:02:46,008 wheel INFO adding 'pythondata_cpu_marocchino/verilog/.travis.yml' 2024-03-06 23:02:46,009 wheel INFO adding 'pythondata_cpu_marocchino/verilog/Jenkinsfile' 2024-03-06 23:02:46,010 wheel INFO adding 'pythondata_cpu_marocchino/verilog/LICENSE' 2024-03-06 23:02:46,012 wheel INFO adding 'pythondata_cpu_marocchino/verilog/README.md' 2024-03-06 23:02:46,013 wheel INFO adding 'pythondata_cpu_marocchino/verilog/or1k_marocchino.core' 2024-03-06 23:02:46,014 wheel INFO adding 'pythondata_cpu_marocchino/verilog/.travis/run-or1k-tests.sh' 2024-03-06 23:02:46,015 wheel INFO adding 'pythondata_cpu_marocchino/verilog/.travis/run-verilator.sh' 2024-03-06 23:02:46,016 wheel INFO adding 'pythondata_cpu_marocchino/verilog/.travis/test.sh' 2024-03-06 23:02:46,018 wheel INFO adding 'pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_monitor.v' 2024-03-06 23:02:46,019 wheel INFO adding 'pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_traceport_monitor.v' 2024-03-06 23:02:46,021 wheel INFO adding 'pythondata_cpu_marocchino/verilog/doc/readme/fp_comparisons_table.odt' 2024-03-06 23:02:46,022 wheel INFO adding 'pythondata_cpu_marocchino/verilog/doc/readme/marrochino_1_goal.txt' 2024-03-06 23:02:46,023 wheel INFO adding 'pythondata_cpu_marocchino/verilog/doc/readme/marrochino_2_status.txt' 2024-03-06 23:02:46,024 wheel INFO adding 'pythondata_cpu_marocchino/verilog/doc/readme/marrochino_3_how_to.txt' 2024-03-06 23:02:46,025 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_cfgrs.v' 2024-03-06 23:02:46,027 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_defines.v' 2024-03-06 23:02:46,028 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_dpram_en_w1st.v' 2024-03-06 23:02:46,029 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_bus_if_wb32.v' 2024-03-06 23:02:46,030 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cache_lru.v' 2024-03-06 23:02:46,032 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cpu.v' 2024-03-06 23:02:46,034 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ctrl.v' 2024-03-06 23:02:46,036 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dcache.v' 2024-03-06 23:02:46,038 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_decode.v' 2024-03-06 23:02:46,039 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dmmu.v' 2024-03-06 23:02:46,041 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_fetch.v' 2024-03-06 23:02:46,042 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_icache.v' 2024-03-06 23:02:46,044 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_immu.v' 2024-03-06 23:02:46,045 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_1clk.v' 2024-03-06 23:02:46,047 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_div.v' 2024-03-06 23:02:46,048 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_mul.v' 2024-03-06 23:02:46,049 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_lsu.v' 2024-03-06 23:02:46,051 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ocb.v' 2024-03-06 23:02:46,052 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_oman.v' 2024-03-06 23:02:46,054 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_pic.v' 2024-03-06 23:02:46,055 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rat_cell.v' 2024-03-06 23:02:46,056 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rf.v' 2024-03-06 23:02:46,058 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rsrvs.v' 2024-03-06 23:02:46,059 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ticktimer.v' 2024-03-06 23:02:46,060 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_top.v' 2024-03-06 23:02:46,062 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_spram_en_w1st.v' 2024-03-06 23:02:46,063 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_sprs.v' 2024-03-06 23:02:46,064 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_utils.vh' 2024-03-06 23:02:46,065 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_addsub.v' 2024-03-06 23:02:46,066 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_cmp.v' 2024-03-06 23:02:46,068 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_div.v' 2024-03-06 23:02:46,069 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_f2i.v' 2024-03-06 23:02:46,070 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_i2f.v' 2024-03-06 23:02:46,071 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_mul.v' 2024-03-06 23:02:46,072 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_muldiv.v' 2024-03-06 23:02:46,074 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_rnd.v' 2024-03-06 23:02:46,075 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_top.v' 2024-03-06 23:02:46,077 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/LICENSE' 2024-03-06 23:02:46,078 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/METADATA' 2024-03-06 23:02:46,079 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/WHEEL' 2024-03-06 23:02:46,080 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/top_level.txt' 2024-03-06 23:02:46,083 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/RECORD' 2024-03-06 23:02:46,085 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:02:46,091 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_marocchino-0.0.post209-py3-none-any.whl pythondata_cpu_marocchino-0.0.post209-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-microwatt 2024-03-06 23:02:47,235 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:02:47,467 root INFO running bdist_wheel 2024-03-06 23:02:47,550 root INFO running build 2024-03-06 23:02:47,550 root INFO running build_py 2024-03-06 23:02:47,562 root INFO creating build 2024-03-06 23:02:47,562 root INFO creating build/lib 2024-03-06 23:02:47,563 root INFO creating build/lib/pythondata_cpu_microwatt 2024-03-06 23:02:47,564 root INFO copying pythondata_cpu_microwatt/__init__.py -> build/lib/pythondata_cpu_microwatt 2024-03-06 23:02:47,566 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:47,567 root INFO copying pythondata_cpu_microwatt/vhdl/run.py -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:47,571 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard 2024-03-06 23:02:47,571 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/fusesoc-add-files.py -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard 2024-03-06 23:02:47,594 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth 2024-03-06 23:02:47,594 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/fusesoc-add-files.py -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth 2024-03-06 23:02:47,597 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:47,598 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/bin2hex.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:47,598 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/test_micropython.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:47,599 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator_long.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:47,600 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/dependencies.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:47,601 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:47,602 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/make_version_fusesoc.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:47,603 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/test_micropython_long.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:47,604 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/gen_icache_tb.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:47,615 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram 2024-03-06 23:02:47,615 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:47,616 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/fusesoc-add-files.py -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:47,618 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:47,618 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/generate.py -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:47,622 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-03-06 23:02:47,622 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/bin2hex.py -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-03-06 23:02:47,628 root INFO running egg_info 2024-03-06 23:02:47,628 root INFO creating pythondata_cpu_microwatt.egg-info 2024-03-06 23:02:47,636 root INFO writing pythondata_cpu_microwatt.egg-info/PKG-INFO 2024-03-06 23:02:47,638 root INFO writing dependency_links to pythondata_cpu_microwatt.egg-info/dependency_links.txt 2024-03-06 23:02:47,640 root INFO writing top-level names to pythondata_cpu_microwatt.egg-info/top_level.txt 2024-03-06 23:02:47,641 root INFO writing manifest file 'pythondata_cpu_microwatt.egg-info/SOURCES.txt' 2024-03-06 23:02:47,732 root INFO reading manifest file 'pythondata_cpu_microwatt.egg-info/SOURCES.txt' 2024-03-06 23:02:47,733 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:02:47,899 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:02:47,900 root INFO adding license file 'LICENSE' 2024-03-06 23:02:48,089 root INFO writing manifest file 'pythondata_cpu_microwatt.egg-info/SOURCES.txt' 2024-03-06 23:02:48,473 root INFO copying pythondata_cpu_microwatt/vhdl/.gitignore -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,474 root INFO copying pythondata_cpu_microwatt/vhdl/LICENSE -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,475 root INFO copying pythondata_cpu_microwatt/vhdl/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,476 root INFO copying pythondata_cpu_microwatt/vhdl/README.md -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,477 root INFO copying pythondata_cpu_microwatt/vhdl/cache_ram.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,477 root INFO copying pythondata_cpu_microwatt/vhdl/common.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,479 root INFO copying pythondata_cpu_microwatt/vhdl/control.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,480 root INFO copying pythondata_cpu_microwatt/vhdl/core.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,481 root INFO copying pythondata_cpu_microwatt/vhdl/core_debug.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,481 root INFO copying pythondata_cpu_microwatt/vhdl/core_dram_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,482 root INFO copying pythondata_cpu_microwatt/vhdl/core_flash_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,483 root INFO copying pythondata_cpu_microwatt/vhdl/core_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,484 root INFO copying pythondata_cpu_microwatt/vhdl/countbits.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,485 root INFO copying pythondata_cpu_microwatt/vhdl/countbits_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,486 root INFO copying pythondata_cpu_microwatt/vhdl/cr_file.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,487 root INFO copying pythondata_cpu_microwatt/vhdl/crhelpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,488 root INFO copying pythondata_cpu_microwatt/vhdl/dcache.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,489 root INFO copying pythondata_cpu_microwatt/vhdl/dcache_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,490 root INFO copying pythondata_cpu_microwatt/vhdl/decode1.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,491 root INFO copying pythondata_cpu_microwatt/vhdl/decode2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,492 root INFO copying pythondata_cpu_microwatt/vhdl/decode_types.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,493 root INFO copying pythondata_cpu_microwatt/vhdl/divider.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,494 root INFO copying pythondata_cpu_microwatt/vhdl/divider_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,495 root INFO copying pythondata_cpu_microwatt/vhdl/dmi_dtm_dummy.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,496 root INFO copying pythondata_cpu_microwatt/vhdl/dmi_dtm_ecp5.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,497 root INFO copying pythondata_cpu_microwatt/vhdl/dmi_dtm_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,498 root INFO copying pythondata_cpu_microwatt/vhdl/dmi_dtm_xilinx.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,499 root INFO copying pythondata_cpu_microwatt/vhdl/dram_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,500 root INFO copying pythondata_cpu_microwatt/vhdl/execute1.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,501 root INFO copying pythondata_cpu_microwatt/vhdl/fetch1.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,501 root INFO copying pythondata_cpu_microwatt/vhdl/foreign_random.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,502 root INFO copying pythondata_cpu_microwatt/vhdl/fpu.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,503 root INFO copying pythondata_cpu_microwatt/vhdl/git.vhdl.in -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,504 root INFO copying pythondata_cpu_microwatt/vhdl/glibc_random.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,505 root INFO copying pythondata_cpu_microwatt/vhdl/glibc_random_helpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,506 root INFO copying pythondata_cpu_microwatt/vhdl/gpio.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,507 root INFO copying pythondata_cpu_microwatt/vhdl/helpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,508 root INFO copying pythondata_cpu_microwatt/vhdl/icache.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,509 root INFO copying pythondata_cpu_microwatt/vhdl/icache_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,509 root INFO copying pythondata_cpu_microwatt/vhdl/icache_test.bin -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,510 root INFO copying pythondata_cpu_microwatt/vhdl/insn_helpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,511 root INFO copying pythondata_cpu_microwatt/vhdl/loadstore1.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,512 root INFO copying pythondata_cpu_microwatt/vhdl/logical.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,513 root INFO copying pythondata_cpu_microwatt/vhdl/microwatt.core -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,514 root INFO copying pythondata_cpu_microwatt/vhdl/mmu.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,515 root INFO copying pythondata_cpu_microwatt/vhdl/multiply-32s.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,516 root INFO copying pythondata_cpu_microwatt/vhdl/multiply.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,516 root INFO copying pythondata_cpu_microwatt/vhdl/multiply_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,517 root INFO copying pythondata_cpu_microwatt/vhdl/nonrandom.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,518 root INFO copying pythondata_cpu_microwatt/vhdl/plru_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,519 root INFO copying pythondata_cpu_microwatt/vhdl/plrufn.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,520 root INFO copying pythondata_cpu_microwatt/vhdl/pmu.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,521 root INFO copying pythondata_cpu_microwatt/vhdl/ppc_fx_insns.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,522 root INFO copying pythondata_cpu_microwatt/vhdl/predecode.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,523 root INFO copying pythondata_cpu_microwatt/vhdl/random.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,523 root INFO copying pythondata_cpu_microwatt/vhdl/register_file.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,524 root INFO copying pythondata_cpu_microwatt/vhdl/rotator.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,525 root INFO copying pythondata_cpu_microwatt/vhdl/rotator_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,526 root INFO copying pythondata_cpu_microwatt/vhdl/sim_16550_uart.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,527 root INFO copying pythondata_cpu_microwatt/vhdl/sim_bram.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,528 root INFO copying pythondata_cpu_microwatt/vhdl/sim_bram_helpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,529 root INFO copying pythondata_cpu_microwatt/vhdl/sim_bram_helpers_c.c -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,529 root INFO copying pythondata_cpu_microwatt/vhdl/sim_console.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,530 root INFO copying pythondata_cpu_microwatt/vhdl/sim_console_c.c -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,531 root INFO copying pythondata_cpu_microwatt/vhdl/sim_jtag.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,532 root INFO copying pythondata_cpu_microwatt/vhdl/sim_jtag_socket.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,533 root INFO copying pythondata_cpu_microwatt/vhdl/sim_jtag_socket_c.c -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,534 root INFO copying pythondata_cpu_microwatt/vhdl/sim_no_flash.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,534 root INFO copying pythondata_cpu_microwatt/vhdl/sim_pp_uart.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,535 root INFO copying pythondata_cpu_microwatt/vhdl/sim_vhpi_c.c -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,536 root INFO copying pythondata_cpu_microwatt/vhdl/sim_vhpi_c.h -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,537 root INFO copying pythondata_cpu_microwatt/vhdl/soc.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,538 root INFO copying pythondata_cpu_microwatt/vhdl/spi_flash_ctrl.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,539 root INFO copying pythondata_cpu_microwatt/vhdl/spi_rxtx.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,540 root INFO copying pythondata_cpu_microwatt/vhdl/sync_fifo.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,541 root INFO copying pythondata_cpu_microwatt/vhdl/syscon.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,542 root INFO copying pythondata_cpu_microwatt/vhdl/utils.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,542 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_arbiter.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,543 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.bin -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,544 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,545 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_bram_wrapper.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,546 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_debug_master.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,547 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_types.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,547 root INFO copying pythondata_cpu_microwatt/vhdl/writeback.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,548 root INFO copying pythondata_cpu_microwatt/vhdl/xics.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,549 root INFO copying pythondata_cpu_microwatt/vhdl/xilinx-mult-32s.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,550 root INFO copying pythondata_cpu_microwatt/vhdl/xilinx-mult.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:48,551 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/.github 2024-03-06 23:02:48,551 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/.github/workflows 2024-03-06 23:02:48,552 root INFO copying pythondata_cpu_microwatt/vhdl/.github/workflows/test.yml -> build/lib/pythondata_cpu_microwatt/vhdl/.github/workflows 2024-03-06 23:02:48,553 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:48,553 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/raminfr.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:48,554 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart16550.core -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:48,555 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_defines.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:48,556 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_receiver.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:48,557 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_regs.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:48,558 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_rfifo.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:48,559 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_sync_flops.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:48,559 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_tfifo.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:48,560 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_top.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:48,561 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_transmitter.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:48,562 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_wb.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:48,563 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/micropython 2024-03-06 23:02:48,563 root INFO copying pythondata_cpu_microwatt/vhdl/micropython/firmware.bin -> build/lib/pythondata_cpu_microwatt/vhdl/micropython 2024-03-06 23:02:48,565 root INFO copying pythondata_cpu_microwatt/vhdl/micropython/firmware.elf -> build/lib/pythondata_cpu_microwatt/vhdl/micropython 2024-03-06 23:02:48,570 root INFO copying pythondata_cpu_microwatt/vhdl/micropython/firmware.hex -> build/lib/pythondata_cpu_microwatt/vhdl/micropython 2024-03-06 23:02:48,572 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-03-06 23:02:48,573 root INFO copying pythondata_cpu_microwatt/vhdl/sim-unisim/BSCANE2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-03-06 23:02:48,574 root INFO copying pythondata_cpu_microwatt/vhdl/sim-unisim/BUFG.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-03-06 23:02:48,575 root INFO copying pythondata_cpu_microwatt/vhdl/sim-unisim/unisim_vcomponents.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-03-06 23:02:48,576 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/include 2024-03-06 23:02:48,576 root INFO copying pythondata_cpu_microwatt/vhdl/include/console.h -> build/lib/pythondata_cpu_microwatt/vhdl/include 2024-03-06 23:02:48,577 root INFO copying pythondata_cpu_microwatt/vhdl/include/io.h -> build/lib/pythondata_cpu_microwatt/vhdl/include 2024-03-06 23:02:48,578 root INFO copying pythondata_cpu_microwatt/vhdl/include/microwatt_soc.h -> build/lib/pythondata_cpu_microwatt/vhdl/include 2024-03-06 23:02:48,579 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,579 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/LICENSE -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,580 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/acorn-cle-215.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,581 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/antmicro_artix_dc_scm.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,582 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/arty_a7.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,583 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/clk_gen_bypass.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,584 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/clk_gen_ecp5.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,585 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/clk_gen_mcmm.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,586 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/clk_gen_plle2.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,587 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/cmod_a7-35.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,588 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/firmware.hex -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,591 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/fpga-random.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,592 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/fpga-random.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,593 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/genesys2.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,594 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/hello_world.hex -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,595 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/main_bram.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,595 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/nexys-video.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,596 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/nexys_a7.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,597 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/pp_fifo.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,598 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/pp_soc_uart.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,599 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/pp_utilities.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,600 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/soc_reset.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,601 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/soc_reset_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,601 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-acorn-cle-215.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,602 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-antmicro-artix-dc-scm.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,603 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-arty.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,604 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-generic.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,605 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-genesys2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,606 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-nexys-video.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,607 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-orangecrab0.2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,608 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-wukong-v2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,609 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/wukong-v2.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:48,610 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/litesdcard.core -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard 2024-03-06 23:02:48,611 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,611 root INFO copying pythondata_cpu_microwatt/vhdl/tests/1.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,612 root INFO copying pythondata_cpu_microwatt/vhdl/tests/1.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,613 root INFO copying pythondata_cpu_microwatt/vhdl/tests/10.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,614 root INFO copying pythondata_cpu_microwatt/vhdl/tests/10.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,615 root INFO copying pythondata_cpu_microwatt/vhdl/tests/100.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,616 root INFO copying pythondata_cpu_microwatt/vhdl/tests/100.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,617 root INFO copying pythondata_cpu_microwatt/vhdl/tests/1000.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,618 root INFO copying pythondata_cpu_microwatt/vhdl/tests/1000.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,619 root INFO copying pythondata_cpu_microwatt/vhdl/tests/101.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,620 root INFO copying pythondata_cpu_microwatt/vhdl/tests/101.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,621 root INFO copying pythondata_cpu_microwatt/vhdl/tests/102.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,622 root INFO copying pythondata_cpu_microwatt/vhdl/tests/102.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,623 root INFO copying pythondata_cpu_microwatt/vhdl/tests/103.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,624 root INFO copying pythondata_cpu_microwatt/vhdl/tests/103.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,625 root INFO copying pythondata_cpu_microwatt/vhdl/tests/104.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,626 root INFO copying pythondata_cpu_microwatt/vhdl/tests/104.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,627 root INFO copying pythondata_cpu_microwatt/vhdl/tests/105.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,628 root INFO copying pythondata_cpu_microwatt/vhdl/tests/105.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,629 root INFO copying pythondata_cpu_microwatt/vhdl/tests/106.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,630 root INFO copying pythondata_cpu_microwatt/vhdl/tests/106.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,631 root INFO copying pythondata_cpu_microwatt/vhdl/tests/107.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,632 root INFO copying pythondata_cpu_microwatt/vhdl/tests/107.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,633 root INFO copying pythondata_cpu_microwatt/vhdl/tests/108.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,634 root INFO copying pythondata_cpu_microwatt/vhdl/tests/108.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,634 root INFO copying pythondata_cpu_microwatt/vhdl/tests/109.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,636 root INFO copying pythondata_cpu_microwatt/vhdl/tests/109.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,636 root INFO copying pythondata_cpu_microwatt/vhdl/tests/11.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,637 root INFO copying pythondata_cpu_microwatt/vhdl/tests/11.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,638 root INFO copying pythondata_cpu_microwatt/vhdl/tests/110.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,639 root INFO copying pythondata_cpu_microwatt/vhdl/tests/110.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,640 root INFO copying pythondata_cpu_microwatt/vhdl/tests/111.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,641 root INFO copying pythondata_cpu_microwatt/vhdl/tests/111.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,642 root INFO copying pythondata_cpu_microwatt/vhdl/tests/112.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,643 root INFO copying pythondata_cpu_microwatt/vhdl/tests/112.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,644 root INFO copying pythondata_cpu_microwatt/vhdl/tests/113.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,645 root INFO copying pythondata_cpu_microwatt/vhdl/tests/113.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,646 root INFO copying pythondata_cpu_microwatt/vhdl/tests/114.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,647 root INFO copying pythondata_cpu_microwatt/vhdl/tests/114.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,648 root INFO copying pythondata_cpu_microwatt/vhdl/tests/115.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,649 root INFO copying pythondata_cpu_microwatt/vhdl/tests/115.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,650 root INFO copying pythondata_cpu_microwatt/vhdl/tests/116.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,651 root INFO copying pythondata_cpu_microwatt/vhdl/tests/116.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,652 root INFO copying pythondata_cpu_microwatt/vhdl/tests/117.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,653 root INFO copying pythondata_cpu_microwatt/vhdl/tests/117.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,654 root INFO copying pythondata_cpu_microwatt/vhdl/tests/118.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,655 root INFO copying pythondata_cpu_microwatt/vhdl/tests/118.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,656 root INFO copying pythondata_cpu_microwatt/vhdl/tests/119.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,657 root INFO copying pythondata_cpu_microwatt/vhdl/tests/119.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,658 root INFO copying pythondata_cpu_microwatt/vhdl/tests/12.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,659 root INFO copying pythondata_cpu_microwatt/vhdl/tests/12.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,660 root INFO copying pythondata_cpu_microwatt/vhdl/tests/120.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,661 root INFO copying pythondata_cpu_microwatt/vhdl/tests/120.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,662 root INFO copying pythondata_cpu_microwatt/vhdl/tests/121.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,663 root INFO copying pythondata_cpu_microwatt/vhdl/tests/121.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,664 root INFO copying pythondata_cpu_microwatt/vhdl/tests/122.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,665 root INFO copying pythondata_cpu_microwatt/vhdl/tests/122.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,666 root INFO copying pythondata_cpu_microwatt/vhdl/tests/123.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,667 root INFO copying pythondata_cpu_microwatt/vhdl/tests/123.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,667 root INFO copying pythondata_cpu_microwatt/vhdl/tests/124.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,668 root INFO copying pythondata_cpu_microwatt/vhdl/tests/124.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,669 root INFO copying pythondata_cpu_microwatt/vhdl/tests/125.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,670 root INFO copying pythondata_cpu_microwatt/vhdl/tests/125.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,671 root INFO copying pythondata_cpu_microwatt/vhdl/tests/126.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,672 root INFO copying pythondata_cpu_microwatt/vhdl/tests/126.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,673 root INFO copying pythondata_cpu_microwatt/vhdl/tests/127.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,674 root INFO copying pythondata_cpu_microwatt/vhdl/tests/127.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,675 root INFO copying pythondata_cpu_microwatt/vhdl/tests/128.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,676 root INFO copying pythondata_cpu_microwatt/vhdl/tests/128.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,677 root INFO copying pythondata_cpu_microwatt/vhdl/tests/129.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,678 root INFO copying pythondata_cpu_microwatt/vhdl/tests/129.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,679 root INFO copying pythondata_cpu_microwatt/vhdl/tests/13.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,680 root INFO copying pythondata_cpu_microwatt/vhdl/tests/13.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,681 root INFO copying pythondata_cpu_microwatt/vhdl/tests/130.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,682 root INFO copying pythondata_cpu_microwatt/vhdl/tests/130.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,683 root INFO copying pythondata_cpu_microwatt/vhdl/tests/131.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,684 root INFO copying pythondata_cpu_microwatt/vhdl/tests/131.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,685 root INFO copying pythondata_cpu_microwatt/vhdl/tests/132.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,686 root INFO copying pythondata_cpu_microwatt/vhdl/tests/132.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,687 root INFO copying pythondata_cpu_microwatt/vhdl/tests/133.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,688 root INFO copying pythondata_cpu_microwatt/vhdl/tests/133.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,689 root INFO copying pythondata_cpu_microwatt/vhdl/tests/134.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,690 root INFO copying pythondata_cpu_microwatt/vhdl/tests/134.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,691 root INFO copying pythondata_cpu_microwatt/vhdl/tests/135.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,692 root INFO copying pythondata_cpu_microwatt/vhdl/tests/135.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,693 root INFO copying pythondata_cpu_microwatt/vhdl/tests/136.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,694 root INFO copying pythondata_cpu_microwatt/vhdl/tests/136.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,695 root INFO copying pythondata_cpu_microwatt/vhdl/tests/137.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,696 root INFO copying pythondata_cpu_microwatt/vhdl/tests/137.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,697 root INFO copying pythondata_cpu_microwatt/vhdl/tests/138.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,698 root INFO copying pythondata_cpu_microwatt/vhdl/tests/138.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,699 root INFO copying pythondata_cpu_microwatt/vhdl/tests/139.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,700 root INFO copying pythondata_cpu_microwatt/vhdl/tests/139.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,700 root INFO copying pythondata_cpu_microwatt/vhdl/tests/14.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,702 root INFO copying pythondata_cpu_microwatt/vhdl/tests/14.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,702 root INFO copying pythondata_cpu_microwatt/vhdl/tests/140.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,703 root INFO copying pythondata_cpu_microwatt/vhdl/tests/140.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,704 root INFO copying pythondata_cpu_microwatt/vhdl/tests/141.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,705 root INFO copying pythondata_cpu_microwatt/vhdl/tests/141.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,706 root INFO copying pythondata_cpu_microwatt/vhdl/tests/142.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,707 root INFO copying pythondata_cpu_microwatt/vhdl/tests/142.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,708 root INFO copying pythondata_cpu_microwatt/vhdl/tests/143.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,709 root INFO copying pythondata_cpu_microwatt/vhdl/tests/143.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,710 root INFO copying pythondata_cpu_microwatt/vhdl/tests/144.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,711 root INFO copying pythondata_cpu_microwatt/vhdl/tests/144.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,712 root INFO copying pythondata_cpu_microwatt/vhdl/tests/145.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,713 root INFO copying pythondata_cpu_microwatt/vhdl/tests/145.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,714 root INFO copying pythondata_cpu_microwatt/vhdl/tests/146.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,715 root INFO copying pythondata_cpu_microwatt/vhdl/tests/146.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,716 root INFO copying pythondata_cpu_microwatt/vhdl/tests/147.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,717 root INFO copying pythondata_cpu_microwatt/vhdl/tests/147.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,718 root INFO copying pythondata_cpu_microwatt/vhdl/tests/148.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,719 root INFO copying pythondata_cpu_microwatt/vhdl/tests/148.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,720 root INFO copying pythondata_cpu_microwatt/vhdl/tests/149.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,721 root INFO copying pythondata_cpu_microwatt/vhdl/tests/149.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,722 root INFO copying pythondata_cpu_microwatt/vhdl/tests/15.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,723 root INFO copying pythondata_cpu_microwatt/vhdl/tests/15.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,724 root INFO copying pythondata_cpu_microwatt/vhdl/tests/150.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,725 root INFO copying pythondata_cpu_microwatt/vhdl/tests/150.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,726 root INFO copying pythondata_cpu_microwatt/vhdl/tests/151.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,727 root INFO copying pythondata_cpu_microwatt/vhdl/tests/151.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,728 root INFO copying pythondata_cpu_microwatt/vhdl/tests/152.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,729 root INFO copying pythondata_cpu_microwatt/vhdl/tests/152.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,730 root INFO copying pythondata_cpu_microwatt/vhdl/tests/153.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,731 root INFO copying pythondata_cpu_microwatt/vhdl/tests/153.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,732 root INFO copying pythondata_cpu_microwatt/vhdl/tests/154.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,733 root INFO copying pythondata_cpu_microwatt/vhdl/tests/154.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,734 root INFO copying pythondata_cpu_microwatt/vhdl/tests/155.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,735 root INFO copying pythondata_cpu_microwatt/vhdl/tests/155.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,736 root INFO copying pythondata_cpu_microwatt/vhdl/tests/156.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,737 root INFO copying pythondata_cpu_microwatt/vhdl/tests/156.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,738 root INFO copying pythondata_cpu_microwatt/vhdl/tests/157.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,739 root INFO copying pythondata_cpu_microwatt/vhdl/tests/157.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,739 root INFO copying pythondata_cpu_microwatt/vhdl/tests/158.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,741 root INFO copying pythondata_cpu_microwatt/vhdl/tests/158.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,741 root INFO copying pythondata_cpu_microwatt/vhdl/tests/159.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,743 root INFO copying pythondata_cpu_microwatt/vhdl/tests/159.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,743 root INFO copying pythondata_cpu_microwatt/vhdl/tests/16.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,745 root INFO copying pythondata_cpu_microwatt/vhdl/tests/16.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,745 root INFO copying pythondata_cpu_microwatt/vhdl/tests/160.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,746 root INFO copying pythondata_cpu_microwatt/vhdl/tests/160.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,747 root INFO copying pythondata_cpu_microwatt/vhdl/tests/161.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,748 root INFO copying pythondata_cpu_microwatt/vhdl/tests/161.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,749 root INFO copying pythondata_cpu_microwatt/vhdl/tests/162.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,750 root INFO copying pythondata_cpu_microwatt/vhdl/tests/162.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,751 root INFO copying pythondata_cpu_microwatt/vhdl/tests/163.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,752 root INFO copying pythondata_cpu_microwatt/vhdl/tests/163.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,753 root INFO copying pythondata_cpu_microwatt/vhdl/tests/164.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,754 root INFO copying pythondata_cpu_microwatt/vhdl/tests/164.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,755 root INFO copying pythondata_cpu_microwatt/vhdl/tests/165.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,756 root INFO copying pythondata_cpu_microwatt/vhdl/tests/165.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,757 root INFO copying pythondata_cpu_microwatt/vhdl/tests/166.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,758 root INFO copying pythondata_cpu_microwatt/vhdl/tests/166.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,759 root INFO copying pythondata_cpu_microwatt/vhdl/tests/167.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,760 root INFO copying pythondata_cpu_microwatt/vhdl/tests/167.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,761 root INFO copying pythondata_cpu_microwatt/vhdl/tests/168.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,762 root INFO copying pythondata_cpu_microwatt/vhdl/tests/168.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,763 root INFO copying pythondata_cpu_microwatt/vhdl/tests/169.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,764 root INFO copying pythondata_cpu_microwatt/vhdl/tests/169.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,765 root INFO copying pythondata_cpu_microwatt/vhdl/tests/17.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,766 root INFO copying pythondata_cpu_microwatt/vhdl/tests/17.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,767 root INFO copying pythondata_cpu_microwatt/vhdl/tests/170.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,768 root INFO copying pythondata_cpu_microwatt/vhdl/tests/170.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,769 root INFO copying pythondata_cpu_microwatt/vhdl/tests/171.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,770 root INFO copying pythondata_cpu_microwatt/vhdl/tests/171.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,771 root INFO copying pythondata_cpu_microwatt/vhdl/tests/172.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,772 root INFO copying pythondata_cpu_microwatt/vhdl/tests/172.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,773 root INFO copying pythondata_cpu_microwatt/vhdl/tests/173.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,774 root INFO copying pythondata_cpu_microwatt/vhdl/tests/173.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,775 root INFO copying pythondata_cpu_microwatt/vhdl/tests/174.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,776 root INFO copying pythondata_cpu_microwatt/vhdl/tests/174.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,777 root INFO copying pythondata_cpu_microwatt/vhdl/tests/175.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,778 root INFO copying pythondata_cpu_microwatt/vhdl/tests/175.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,779 root INFO copying pythondata_cpu_microwatt/vhdl/tests/176.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,780 root INFO copying pythondata_cpu_microwatt/vhdl/tests/176.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,781 root INFO copying pythondata_cpu_microwatt/vhdl/tests/177.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,782 root INFO copying pythondata_cpu_microwatt/vhdl/tests/177.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,783 root INFO copying pythondata_cpu_microwatt/vhdl/tests/178.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,784 root INFO copying pythondata_cpu_microwatt/vhdl/tests/178.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,785 root INFO copying pythondata_cpu_microwatt/vhdl/tests/179.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,786 root INFO copying pythondata_cpu_microwatt/vhdl/tests/179.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,787 root INFO copying pythondata_cpu_microwatt/vhdl/tests/18.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,788 root INFO copying pythondata_cpu_microwatt/vhdl/tests/18.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,788 root INFO copying pythondata_cpu_microwatt/vhdl/tests/180.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,790 root INFO copying pythondata_cpu_microwatt/vhdl/tests/180.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,791 root INFO copying pythondata_cpu_microwatt/vhdl/tests/181.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,792 root INFO copying pythondata_cpu_microwatt/vhdl/tests/181.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,792 root INFO copying pythondata_cpu_microwatt/vhdl/tests/182.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,794 root INFO copying pythondata_cpu_microwatt/vhdl/tests/182.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,794 root INFO copying pythondata_cpu_microwatt/vhdl/tests/183.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,796 root INFO copying pythondata_cpu_microwatt/vhdl/tests/183.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,796 root INFO copying pythondata_cpu_microwatt/vhdl/tests/184.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,798 root INFO copying pythondata_cpu_microwatt/vhdl/tests/184.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,798 root INFO copying pythondata_cpu_microwatt/vhdl/tests/185.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,799 root INFO copying pythondata_cpu_microwatt/vhdl/tests/185.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,800 root INFO copying pythondata_cpu_microwatt/vhdl/tests/186.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,801 root INFO copying pythondata_cpu_microwatt/vhdl/tests/186.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,802 root INFO copying pythondata_cpu_microwatt/vhdl/tests/187.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,803 root INFO copying pythondata_cpu_microwatt/vhdl/tests/187.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,804 root INFO copying pythondata_cpu_microwatt/vhdl/tests/188.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,805 root INFO copying pythondata_cpu_microwatt/vhdl/tests/188.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,806 root INFO copying pythondata_cpu_microwatt/vhdl/tests/189.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,807 root INFO copying pythondata_cpu_microwatt/vhdl/tests/189.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,808 root INFO copying pythondata_cpu_microwatt/vhdl/tests/19.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,809 root INFO copying pythondata_cpu_microwatt/vhdl/tests/19.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,810 root INFO copying pythondata_cpu_microwatt/vhdl/tests/190.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,811 root INFO copying pythondata_cpu_microwatt/vhdl/tests/190.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,812 root INFO copying pythondata_cpu_microwatt/vhdl/tests/191.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,813 root INFO copying pythondata_cpu_microwatt/vhdl/tests/191.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,814 root INFO copying pythondata_cpu_microwatt/vhdl/tests/192.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,815 root INFO copying pythondata_cpu_microwatt/vhdl/tests/192.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,816 root INFO copying pythondata_cpu_microwatt/vhdl/tests/193.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,817 root INFO copying pythondata_cpu_microwatt/vhdl/tests/193.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,818 root INFO copying pythondata_cpu_microwatt/vhdl/tests/194.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,819 root INFO copying pythondata_cpu_microwatt/vhdl/tests/194.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,820 root INFO copying pythondata_cpu_microwatt/vhdl/tests/195.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,821 root INFO copying pythondata_cpu_microwatt/vhdl/tests/195.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,822 root INFO copying pythondata_cpu_microwatt/vhdl/tests/196.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,823 root INFO copying pythondata_cpu_microwatt/vhdl/tests/196.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,824 root INFO copying pythondata_cpu_microwatt/vhdl/tests/197.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,825 root INFO copying pythondata_cpu_microwatt/vhdl/tests/197.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,826 root INFO copying pythondata_cpu_microwatt/vhdl/tests/198.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,827 root INFO copying pythondata_cpu_microwatt/vhdl/tests/198.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,828 root INFO copying pythondata_cpu_microwatt/vhdl/tests/199.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,829 root INFO copying pythondata_cpu_microwatt/vhdl/tests/199.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,830 root INFO copying pythondata_cpu_microwatt/vhdl/tests/2.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,831 root INFO copying pythondata_cpu_microwatt/vhdl/tests/2.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,832 root INFO copying pythondata_cpu_microwatt/vhdl/tests/20.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,833 root INFO copying pythondata_cpu_microwatt/vhdl/tests/20.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,834 root INFO copying pythondata_cpu_microwatt/vhdl/tests/200.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,835 root INFO copying pythondata_cpu_microwatt/vhdl/tests/200.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,836 root INFO copying pythondata_cpu_microwatt/vhdl/tests/201.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,837 root INFO copying pythondata_cpu_microwatt/vhdl/tests/201.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,838 root INFO copying pythondata_cpu_microwatt/vhdl/tests/202.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,839 root INFO copying pythondata_cpu_microwatt/vhdl/tests/202.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,840 root INFO copying pythondata_cpu_microwatt/vhdl/tests/203.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,841 root INFO copying pythondata_cpu_microwatt/vhdl/tests/203.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,842 root INFO copying pythondata_cpu_microwatt/vhdl/tests/204.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,843 root INFO copying pythondata_cpu_microwatt/vhdl/tests/204.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,844 root INFO copying pythondata_cpu_microwatt/vhdl/tests/205.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,845 root INFO copying pythondata_cpu_microwatt/vhdl/tests/205.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,846 root INFO copying pythondata_cpu_microwatt/vhdl/tests/206.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,847 root INFO copying pythondata_cpu_microwatt/vhdl/tests/206.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,848 root INFO copying pythondata_cpu_microwatt/vhdl/tests/207.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,849 root INFO copying pythondata_cpu_microwatt/vhdl/tests/207.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,850 root INFO copying pythondata_cpu_microwatt/vhdl/tests/208.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,851 root INFO copying pythondata_cpu_microwatt/vhdl/tests/208.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,852 root INFO copying pythondata_cpu_microwatt/vhdl/tests/209.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,853 root INFO copying pythondata_cpu_microwatt/vhdl/tests/209.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,853 root INFO copying pythondata_cpu_microwatt/vhdl/tests/21.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,855 root INFO copying pythondata_cpu_microwatt/vhdl/tests/21.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,855 root INFO copying pythondata_cpu_microwatt/vhdl/tests/210.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,856 root INFO copying pythondata_cpu_microwatt/vhdl/tests/210.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,857 root INFO copying pythondata_cpu_microwatt/vhdl/tests/211.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,858 root INFO copying pythondata_cpu_microwatt/vhdl/tests/211.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,859 root INFO copying pythondata_cpu_microwatt/vhdl/tests/212.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,861 root INFO copying pythondata_cpu_microwatt/vhdl/tests/212.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,861 root INFO copying pythondata_cpu_microwatt/vhdl/tests/213.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,863 root INFO copying pythondata_cpu_microwatt/vhdl/tests/213.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,863 root INFO copying pythondata_cpu_microwatt/vhdl/tests/214.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,865 root INFO copying pythondata_cpu_microwatt/vhdl/tests/214.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,865 root INFO copying pythondata_cpu_microwatt/vhdl/tests/215.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,866 root INFO copying pythondata_cpu_microwatt/vhdl/tests/215.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,867 root INFO copying pythondata_cpu_microwatt/vhdl/tests/216.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,868 root INFO copying pythondata_cpu_microwatt/vhdl/tests/216.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,869 root INFO copying pythondata_cpu_microwatt/vhdl/tests/217.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,870 root INFO copying pythondata_cpu_microwatt/vhdl/tests/217.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,871 root INFO copying pythondata_cpu_microwatt/vhdl/tests/218.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,872 root INFO copying pythondata_cpu_microwatt/vhdl/tests/218.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,873 root INFO copying pythondata_cpu_microwatt/vhdl/tests/219.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,874 root INFO copying pythondata_cpu_microwatt/vhdl/tests/219.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,875 root INFO copying pythondata_cpu_microwatt/vhdl/tests/22.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,876 root INFO copying pythondata_cpu_microwatt/vhdl/tests/22.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,877 root INFO copying pythondata_cpu_microwatt/vhdl/tests/220.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,878 root INFO copying pythondata_cpu_microwatt/vhdl/tests/220.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,879 root INFO copying pythondata_cpu_microwatt/vhdl/tests/221.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,880 root INFO copying pythondata_cpu_microwatt/vhdl/tests/221.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,881 root INFO copying pythondata_cpu_microwatt/vhdl/tests/222.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,882 root INFO copying pythondata_cpu_microwatt/vhdl/tests/222.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,883 root INFO copying pythondata_cpu_microwatt/vhdl/tests/223.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,884 root INFO copying pythondata_cpu_microwatt/vhdl/tests/223.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,885 root INFO copying pythondata_cpu_microwatt/vhdl/tests/224.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,886 root INFO copying pythondata_cpu_microwatt/vhdl/tests/224.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,887 root INFO copying pythondata_cpu_microwatt/vhdl/tests/225.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,888 root INFO copying pythondata_cpu_microwatt/vhdl/tests/225.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,889 root INFO copying pythondata_cpu_microwatt/vhdl/tests/226.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,890 root INFO copying pythondata_cpu_microwatt/vhdl/tests/226.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,891 root INFO copying pythondata_cpu_microwatt/vhdl/tests/227.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,892 root INFO copying pythondata_cpu_microwatt/vhdl/tests/227.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,893 root INFO copying pythondata_cpu_microwatt/vhdl/tests/228.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,894 root INFO copying pythondata_cpu_microwatt/vhdl/tests/228.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,895 root INFO copying pythondata_cpu_microwatt/vhdl/tests/229.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,896 root INFO copying pythondata_cpu_microwatt/vhdl/tests/229.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,897 root INFO copying pythondata_cpu_microwatt/vhdl/tests/23.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,898 root INFO copying pythondata_cpu_microwatt/vhdl/tests/23.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,899 root INFO copying pythondata_cpu_microwatt/vhdl/tests/230.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,900 root INFO copying pythondata_cpu_microwatt/vhdl/tests/230.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,901 root INFO copying pythondata_cpu_microwatt/vhdl/tests/231.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,902 root INFO copying pythondata_cpu_microwatt/vhdl/tests/231.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,903 root INFO copying pythondata_cpu_microwatt/vhdl/tests/232.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,904 root INFO copying pythondata_cpu_microwatt/vhdl/tests/232.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,904 root INFO copying pythondata_cpu_microwatt/vhdl/tests/233.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,906 root INFO copying pythondata_cpu_microwatt/vhdl/tests/233.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,906 root INFO copying pythondata_cpu_microwatt/vhdl/tests/234.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,908 root INFO copying pythondata_cpu_microwatt/vhdl/tests/234.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,908 root INFO copying pythondata_cpu_microwatt/vhdl/tests/235.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,910 root INFO copying pythondata_cpu_microwatt/vhdl/tests/235.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,910 root INFO copying pythondata_cpu_microwatt/vhdl/tests/236.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,912 root INFO copying pythondata_cpu_microwatt/vhdl/tests/236.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,912 root INFO copying pythondata_cpu_microwatt/vhdl/tests/237.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,914 root INFO copying pythondata_cpu_microwatt/vhdl/tests/237.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,914 root INFO copying pythondata_cpu_microwatt/vhdl/tests/238.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,915 root INFO copying pythondata_cpu_microwatt/vhdl/tests/238.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,916 root INFO copying pythondata_cpu_microwatt/vhdl/tests/239.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,917 root INFO copying pythondata_cpu_microwatt/vhdl/tests/239.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,918 root INFO copying pythondata_cpu_microwatt/vhdl/tests/24.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,919 root INFO copying pythondata_cpu_microwatt/vhdl/tests/24.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,920 root INFO copying pythondata_cpu_microwatt/vhdl/tests/240.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,921 root INFO copying pythondata_cpu_microwatt/vhdl/tests/240.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,922 root INFO copying pythondata_cpu_microwatt/vhdl/tests/241.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,923 root INFO copying pythondata_cpu_microwatt/vhdl/tests/241.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,924 root INFO copying pythondata_cpu_microwatt/vhdl/tests/242.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,925 root INFO copying pythondata_cpu_microwatt/vhdl/tests/242.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,926 root INFO copying pythondata_cpu_microwatt/vhdl/tests/243.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,927 root INFO copying pythondata_cpu_microwatt/vhdl/tests/243.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,928 root INFO copying pythondata_cpu_microwatt/vhdl/tests/244.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,929 root INFO copying pythondata_cpu_microwatt/vhdl/tests/244.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,930 root INFO copying pythondata_cpu_microwatt/vhdl/tests/245.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,931 root INFO copying pythondata_cpu_microwatt/vhdl/tests/245.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,932 root INFO copying pythondata_cpu_microwatt/vhdl/tests/246.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,933 root INFO copying pythondata_cpu_microwatt/vhdl/tests/246.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,934 root INFO copying pythondata_cpu_microwatt/vhdl/tests/247.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,935 root INFO copying pythondata_cpu_microwatt/vhdl/tests/247.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,936 root INFO copying pythondata_cpu_microwatt/vhdl/tests/248.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,937 root INFO copying pythondata_cpu_microwatt/vhdl/tests/248.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,938 root INFO copying pythondata_cpu_microwatt/vhdl/tests/249.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,939 root INFO copying pythondata_cpu_microwatt/vhdl/tests/249.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,940 root INFO copying pythondata_cpu_microwatt/vhdl/tests/25.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,941 root INFO copying pythondata_cpu_microwatt/vhdl/tests/25.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,942 root INFO copying pythondata_cpu_microwatt/vhdl/tests/250.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,943 root INFO copying pythondata_cpu_microwatt/vhdl/tests/250.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,944 root INFO copying pythondata_cpu_microwatt/vhdl/tests/251.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,945 root INFO copying pythondata_cpu_microwatt/vhdl/tests/251.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,946 root INFO copying pythondata_cpu_microwatt/vhdl/tests/252.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,947 root INFO copying pythondata_cpu_microwatt/vhdl/tests/252.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,948 root INFO copying pythondata_cpu_microwatt/vhdl/tests/253.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,949 root INFO copying pythondata_cpu_microwatt/vhdl/tests/253.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,950 root INFO copying pythondata_cpu_microwatt/vhdl/tests/254.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,951 root INFO copying pythondata_cpu_microwatt/vhdl/tests/254.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,952 root INFO copying pythondata_cpu_microwatt/vhdl/tests/255.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,953 root INFO copying pythondata_cpu_microwatt/vhdl/tests/255.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,954 root INFO copying pythondata_cpu_microwatt/vhdl/tests/256.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,955 root INFO copying pythondata_cpu_microwatt/vhdl/tests/256.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,956 root INFO copying pythondata_cpu_microwatt/vhdl/tests/257.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,957 root INFO copying pythondata_cpu_microwatt/vhdl/tests/257.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,958 root INFO copying pythondata_cpu_microwatt/vhdl/tests/258.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,959 root INFO copying pythondata_cpu_microwatt/vhdl/tests/258.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,960 root INFO copying pythondata_cpu_microwatt/vhdl/tests/259.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,961 root INFO copying pythondata_cpu_microwatt/vhdl/tests/259.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,962 root INFO copying pythondata_cpu_microwatt/vhdl/tests/26.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,963 root INFO copying pythondata_cpu_microwatt/vhdl/tests/26.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,963 root INFO copying pythondata_cpu_microwatt/vhdl/tests/260.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,965 root INFO copying pythondata_cpu_microwatt/vhdl/tests/260.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,965 root INFO copying pythondata_cpu_microwatt/vhdl/tests/261.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,967 root INFO copying pythondata_cpu_microwatt/vhdl/tests/261.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,967 root INFO copying pythondata_cpu_microwatt/vhdl/tests/262.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,969 root INFO copying pythondata_cpu_microwatt/vhdl/tests/262.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,969 root INFO copying pythondata_cpu_microwatt/vhdl/tests/263.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,971 root INFO copying pythondata_cpu_microwatt/vhdl/tests/263.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,971 root INFO copying pythondata_cpu_microwatt/vhdl/tests/264.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,973 root INFO copying pythondata_cpu_microwatt/vhdl/tests/264.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,973 root INFO copying pythondata_cpu_microwatt/vhdl/tests/265.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,974 root INFO copying pythondata_cpu_microwatt/vhdl/tests/265.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,975 root INFO copying pythondata_cpu_microwatt/vhdl/tests/266.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,976 root INFO copying pythondata_cpu_microwatt/vhdl/tests/266.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,977 root INFO copying pythondata_cpu_microwatt/vhdl/tests/267.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,978 root INFO copying pythondata_cpu_microwatt/vhdl/tests/267.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,979 root INFO copying pythondata_cpu_microwatt/vhdl/tests/268.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,980 root INFO copying pythondata_cpu_microwatt/vhdl/tests/268.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,981 root INFO copying pythondata_cpu_microwatt/vhdl/tests/269.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,982 root INFO copying pythondata_cpu_microwatt/vhdl/tests/269.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,983 root INFO copying pythondata_cpu_microwatt/vhdl/tests/27.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,984 root INFO copying pythondata_cpu_microwatt/vhdl/tests/27.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,985 root INFO copying pythondata_cpu_microwatt/vhdl/tests/270.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,986 root INFO copying pythondata_cpu_microwatt/vhdl/tests/270.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,987 root INFO copying pythondata_cpu_microwatt/vhdl/tests/271.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,988 root INFO copying pythondata_cpu_microwatt/vhdl/tests/271.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,989 root INFO copying pythondata_cpu_microwatt/vhdl/tests/272.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,990 root INFO copying pythondata_cpu_microwatt/vhdl/tests/272.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,991 root INFO copying pythondata_cpu_microwatt/vhdl/tests/273.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,992 root INFO copying pythondata_cpu_microwatt/vhdl/tests/273.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,993 root INFO copying pythondata_cpu_microwatt/vhdl/tests/274.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,994 root INFO copying pythondata_cpu_microwatt/vhdl/tests/274.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,995 root INFO copying pythondata_cpu_microwatt/vhdl/tests/275.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,996 root INFO copying pythondata_cpu_microwatt/vhdl/tests/275.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,997 root INFO copying pythondata_cpu_microwatt/vhdl/tests/276.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,998 root INFO copying pythondata_cpu_microwatt/vhdl/tests/276.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:48,999 root INFO copying pythondata_cpu_microwatt/vhdl/tests/277.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,000 root INFO copying pythondata_cpu_microwatt/vhdl/tests/277.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,001 root INFO copying pythondata_cpu_microwatt/vhdl/tests/278.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,002 root INFO copying pythondata_cpu_microwatt/vhdl/tests/278.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,003 root INFO copying pythondata_cpu_microwatt/vhdl/tests/279.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,004 root INFO copying pythondata_cpu_microwatt/vhdl/tests/279.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,005 root INFO copying pythondata_cpu_microwatt/vhdl/tests/28.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,006 root INFO copying pythondata_cpu_microwatt/vhdl/tests/28.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,007 root INFO copying pythondata_cpu_microwatt/vhdl/tests/280.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,008 root INFO copying pythondata_cpu_microwatt/vhdl/tests/280.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,009 root INFO copying pythondata_cpu_microwatt/vhdl/tests/281.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,010 root INFO copying pythondata_cpu_microwatt/vhdl/tests/281.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,011 root INFO copying pythondata_cpu_microwatt/vhdl/tests/282.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,012 root INFO copying pythondata_cpu_microwatt/vhdl/tests/282.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,013 root INFO copying pythondata_cpu_microwatt/vhdl/tests/283.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,014 root INFO copying pythondata_cpu_microwatt/vhdl/tests/283.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,015 root INFO copying pythondata_cpu_microwatt/vhdl/tests/284.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,016 root INFO copying pythondata_cpu_microwatt/vhdl/tests/284.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,017 root INFO copying pythondata_cpu_microwatt/vhdl/tests/285.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,018 root INFO copying pythondata_cpu_microwatt/vhdl/tests/285.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,019 root INFO copying pythondata_cpu_microwatt/vhdl/tests/286.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,020 root INFO copying pythondata_cpu_microwatt/vhdl/tests/286.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,021 root INFO copying pythondata_cpu_microwatt/vhdl/tests/287.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,022 root INFO copying pythondata_cpu_microwatt/vhdl/tests/287.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,023 root INFO copying pythondata_cpu_microwatt/vhdl/tests/288.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,024 root INFO copying pythondata_cpu_microwatt/vhdl/tests/288.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,025 root INFO copying pythondata_cpu_microwatt/vhdl/tests/289.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,026 root INFO copying pythondata_cpu_microwatt/vhdl/tests/289.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,027 root INFO copying pythondata_cpu_microwatt/vhdl/tests/29.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,028 root INFO copying pythondata_cpu_microwatt/vhdl/tests/29.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,029 root INFO copying pythondata_cpu_microwatt/vhdl/tests/290.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,030 root INFO copying pythondata_cpu_microwatt/vhdl/tests/290.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,031 root INFO copying pythondata_cpu_microwatt/vhdl/tests/291.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,032 root INFO copying pythondata_cpu_microwatt/vhdl/tests/291.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,033 root INFO copying pythondata_cpu_microwatt/vhdl/tests/292.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,034 root INFO copying pythondata_cpu_microwatt/vhdl/tests/292.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,035 root INFO copying pythondata_cpu_microwatt/vhdl/tests/293.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,036 root INFO copying pythondata_cpu_microwatt/vhdl/tests/293.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,037 root INFO copying pythondata_cpu_microwatt/vhdl/tests/294.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,038 root INFO copying pythondata_cpu_microwatt/vhdl/tests/294.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,039 root INFO copying pythondata_cpu_microwatt/vhdl/tests/295.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,040 root INFO copying pythondata_cpu_microwatt/vhdl/tests/295.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,041 root INFO copying pythondata_cpu_microwatt/vhdl/tests/296.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,042 root INFO copying pythondata_cpu_microwatt/vhdl/tests/296.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,043 root INFO copying pythondata_cpu_microwatt/vhdl/tests/297.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,044 root INFO copying pythondata_cpu_microwatt/vhdl/tests/297.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,045 root INFO copying pythondata_cpu_microwatt/vhdl/tests/298.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,046 root INFO copying pythondata_cpu_microwatt/vhdl/tests/298.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,047 root INFO copying pythondata_cpu_microwatt/vhdl/tests/299.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,048 root INFO copying pythondata_cpu_microwatt/vhdl/tests/299.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,049 root INFO copying pythondata_cpu_microwatt/vhdl/tests/3.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,050 root INFO copying pythondata_cpu_microwatt/vhdl/tests/3.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,051 root INFO copying pythondata_cpu_microwatt/vhdl/tests/30.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,052 root INFO copying pythondata_cpu_microwatt/vhdl/tests/30.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,053 root INFO copying pythondata_cpu_microwatt/vhdl/tests/300.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,054 root INFO copying pythondata_cpu_microwatt/vhdl/tests/300.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,055 root INFO copying pythondata_cpu_microwatt/vhdl/tests/301.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,056 root INFO copying pythondata_cpu_microwatt/vhdl/tests/301.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,057 root INFO copying pythondata_cpu_microwatt/vhdl/tests/302.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,058 root INFO copying pythondata_cpu_microwatt/vhdl/tests/302.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,059 root INFO copying pythondata_cpu_microwatt/vhdl/tests/303.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,060 root INFO copying pythondata_cpu_microwatt/vhdl/tests/303.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,061 root INFO copying pythondata_cpu_microwatt/vhdl/tests/304.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,062 root INFO copying pythondata_cpu_microwatt/vhdl/tests/304.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,063 root INFO copying pythondata_cpu_microwatt/vhdl/tests/305.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,064 root INFO copying pythondata_cpu_microwatt/vhdl/tests/305.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,065 root INFO copying pythondata_cpu_microwatt/vhdl/tests/306.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,066 root INFO copying pythondata_cpu_microwatt/vhdl/tests/306.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,067 root INFO copying pythondata_cpu_microwatt/vhdl/tests/307.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,068 root INFO copying pythondata_cpu_microwatt/vhdl/tests/307.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,069 root INFO copying pythondata_cpu_microwatt/vhdl/tests/308.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,070 root INFO copying pythondata_cpu_microwatt/vhdl/tests/308.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,071 root INFO copying pythondata_cpu_microwatt/vhdl/tests/309.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,072 root INFO copying pythondata_cpu_microwatt/vhdl/tests/309.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,073 root INFO copying pythondata_cpu_microwatt/vhdl/tests/31.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,074 root INFO copying pythondata_cpu_microwatt/vhdl/tests/31.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,075 root INFO copying pythondata_cpu_microwatt/vhdl/tests/310.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,076 root INFO copying pythondata_cpu_microwatt/vhdl/tests/310.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,077 root INFO copying pythondata_cpu_microwatt/vhdl/tests/311.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,078 root INFO copying pythondata_cpu_microwatt/vhdl/tests/311.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,079 root INFO copying pythondata_cpu_microwatt/vhdl/tests/312.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,080 root INFO copying pythondata_cpu_microwatt/vhdl/tests/312.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,081 root INFO copying pythondata_cpu_microwatt/vhdl/tests/313.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,082 root INFO copying pythondata_cpu_microwatt/vhdl/tests/313.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,083 root INFO copying pythondata_cpu_microwatt/vhdl/tests/314.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,084 root INFO copying pythondata_cpu_microwatt/vhdl/tests/314.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,085 root INFO copying pythondata_cpu_microwatt/vhdl/tests/315.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,086 root INFO copying pythondata_cpu_microwatt/vhdl/tests/315.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,087 root INFO copying pythondata_cpu_microwatt/vhdl/tests/316.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,088 root INFO copying pythondata_cpu_microwatt/vhdl/tests/316.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,089 root INFO copying pythondata_cpu_microwatt/vhdl/tests/317.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,090 root INFO copying pythondata_cpu_microwatt/vhdl/tests/317.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,091 root INFO copying pythondata_cpu_microwatt/vhdl/tests/318.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,092 root INFO copying pythondata_cpu_microwatt/vhdl/tests/318.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,093 root INFO copying pythondata_cpu_microwatt/vhdl/tests/319.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,094 root INFO copying pythondata_cpu_microwatt/vhdl/tests/319.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,095 root INFO copying pythondata_cpu_microwatt/vhdl/tests/32.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,096 root INFO copying pythondata_cpu_microwatt/vhdl/tests/32.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,097 root INFO copying pythondata_cpu_microwatt/vhdl/tests/320.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,098 root INFO copying pythondata_cpu_microwatt/vhdl/tests/320.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,099 root INFO copying pythondata_cpu_microwatt/vhdl/tests/321.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,100 root INFO copying pythondata_cpu_microwatt/vhdl/tests/321.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,101 root INFO copying pythondata_cpu_microwatt/vhdl/tests/322.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,102 root INFO copying pythondata_cpu_microwatt/vhdl/tests/322.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,103 root INFO copying pythondata_cpu_microwatt/vhdl/tests/323.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,104 root INFO copying pythondata_cpu_microwatt/vhdl/tests/323.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,105 root INFO copying pythondata_cpu_microwatt/vhdl/tests/324.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,106 root INFO copying pythondata_cpu_microwatt/vhdl/tests/324.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,107 root INFO copying pythondata_cpu_microwatt/vhdl/tests/325.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,108 root INFO copying pythondata_cpu_microwatt/vhdl/tests/325.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,109 root INFO copying pythondata_cpu_microwatt/vhdl/tests/326.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,110 root INFO copying pythondata_cpu_microwatt/vhdl/tests/326.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,111 root INFO copying pythondata_cpu_microwatt/vhdl/tests/327.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,112 root INFO copying pythondata_cpu_microwatt/vhdl/tests/327.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,113 root INFO copying pythondata_cpu_microwatt/vhdl/tests/328.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,114 root INFO copying pythondata_cpu_microwatt/vhdl/tests/328.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,115 root INFO copying pythondata_cpu_microwatt/vhdl/tests/329.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,116 root INFO copying pythondata_cpu_microwatt/vhdl/tests/329.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,117 root INFO copying pythondata_cpu_microwatt/vhdl/tests/33.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,118 root INFO copying pythondata_cpu_microwatt/vhdl/tests/33.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,119 root INFO copying pythondata_cpu_microwatt/vhdl/tests/330.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,120 root INFO copying pythondata_cpu_microwatt/vhdl/tests/330.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,121 root INFO copying pythondata_cpu_microwatt/vhdl/tests/331.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,122 root INFO copying pythondata_cpu_microwatt/vhdl/tests/331.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,123 root INFO copying pythondata_cpu_microwatt/vhdl/tests/332.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,124 root INFO copying pythondata_cpu_microwatt/vhdl/tests/332.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,125 root INFO copying pythondata_cpu_microwatt/vhdl/tests/333.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,126 root INFO copying pythondata_cpu_microwatt/vhdl/tests/333.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,127 root INFO copying pythondata_cpu_microwatt/vhdl/tests/334.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,128 root INFO copying pythondata_cpu_microwatt/vhdl/tests/334.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,128 root INFO copying pythondata_cpu_microwatt/vhdl/tests/335.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,130 root INFO copying pythondata_cpu_microwatt/vhdl/tests/335.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,130 root INFO copying pythondata_cpu_microwatt/vhdl/tests/336.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,132 root INFO copying pythondata_cpu_microwatt/vhdl/tests/336.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,132 root INFO copying pythondata_cpu_microwatt/vhdl/tests/337.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,134 root INFO copying pythondata_cpu_microwatt/vhdl/tests/337.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,134 root INFO copying pythondata_cpu_microwatt/vhdl/tests/338.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,136 root INFO copying pythondata_cpu_microwatt/vhdl/tests/338.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,136 root INFO copying pythondata_cpu_microwatt/vhdl/tests/339.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,137 root INFO copying pythondata_cpu_microwatt/vhdl/tests/339.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,138 root INFO copying pythondata_cpu_microwatt/vhdl/tests/34.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,139 root INFO copying pythondata_cpu_microwatt/vhdl/tests/34.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,140 root INFO copying pythondata_cpu_microwatt/vhdl/tests/340.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,141 root INFO copying pythondata_cpu_microwatt/vhdl/tests/340.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,142 root INFO copying pythondata_cpu_microwatt/vhdl/tests/341.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,143 root INFO copying pythondata_cpu_microwatt/vhdl/tests/341.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,144 root INFO copying pythondata_cpu_microwatt/vhdl/tests/342.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,145 root INFO copying pythondata_cpu_microwatt/vhdl/tests/342.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,146 root INFO copying pythondata_cpu_microwatt/vhdl/tests/343.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,147 root INFO copying pythondata_cpu_microwatt/vhdl/tests/343.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,148 root INFO copying pythondata_cpu_microwatt/vhdl/tests/344.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,149 root INFO copying pythondata_cpu_microwatt/vhdl/tests/344.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,150 root INFO copying pythondata_cpu_microwatt/vhdl/tests/345.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,151 root INFO copying pythondata_cpu_microwatt/vhdl/tests/345.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,152 root INFO copying pythondata_cpu_microwatt/vhdl/tests/346.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,153 root INFO copying pythondata_cpu_microwatt/vhdl/tests/346.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,154 root INFO copying pythondata_cpu_microwatt/vhdl/tests/347.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,155 root INFO copying pythondata_cpu_microwatt/vhdl/tests/347.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,156 root INFO copying pythondata_cpu_microwatt/vhdl/tests/348.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,157 root INFO copying pythondata_cpu_microwatt/vhdl/tests/348.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,158 root INFO copying pythondata_cpu_microwatt/vhdl/tests/349.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,159 root INFO copying pythondata_cpu_microwatt/vhdl/tests/349.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,160 root INFO copying pythondata_cpu_microwatt/vhdl/tests/35.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,161 root INFO copying pythondata_cpu_microwatt/vhdl/tests/35.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,162 root INFO copying pythondata_cpu_microwatt/vhdl/tests/350.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,163 root INFO copying pythondata_cpu_microwatt/vhdl/tests/350.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,164 root INFO copying pythondata_cpu_microwatt/vhdl/tests/351.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,165 root INFO copying pythondata_cpu_microwatt/vhdl/tests/351.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,166 root INFO copying pythondata_cpu_microwatt/vhdl/tests/352.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,167 root INFO copying pythondata_cpu_microwatt/vhdl/tests/352.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,168 root INFO copying pythondata_cpu_microwatt/vhdl/tests/353.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,169 root INFO copying pythondata_cpu_microwatt/vhdl/tests/353.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,170 root INFO copying pythondata_cpu_microwatt/vhdl/tests/354.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,171 root INFO copying pythondata_cpu_microwatt/vhdl/tests/354.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,172 root INFO copying pythondata_cpu_microwatt/vhdl/tests/355.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,173 root INFO copying pythondata_cpu_microwatt/vhdl/tests/355.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,174 root INFO copying pythondata_cpu_microwatt/vhdl/tests/356.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,175 root INFO copying pythondata_cpu_microwatt/vhdl/tests/356.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,176 root INFO copying pythondata_cpu_microwatt/vhdl/tests/357.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,177 root INFO copying pythondata_cpu_microwatt/vhdl/tests/357.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,178 root INFO copying pythondata_cpu_microwatt/vhdl/tests/358.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,179 root INFO copying pythondata_cpu_microwatt/vhdl/tests/358.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,180 root INFO copying pythondata_cpu_microwatt/vhdl/tests/359.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,181 root INFO copying pythondata_cpu_microwatt/vhdl/tests/359.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,182 root INFO copying pythondata_cpu_microwatt/vhdl/tests/36.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,183 root INFO copying pythondata_cpu_microwatt/vhdl/tests/36.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,184 root INFO copying pythondata_cpu_microwatt/vhdl/tests/360.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,185 root INFO copying pythondata_cpu_microwatt/vhdl/tests/360.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,186 root INFO copying pythondata_cpu_microwatt/vhdl/tests/361.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,187 root INFO copying pythondata_cpu_microwatt/vhdl/tests/361.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,188 root INFO copying pythondata_cpu_microwatt/vhdl/tests/362.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,189 root INFO copying pythondata_cpu_microwatt/vhdl/tests/362.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,190 root INFO copying pythondata_cpu_microwatt/vhdl/tests/363.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,191 root INFO copying pythondata_cpu_microwatt/vhdl/tests/363.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,192 root INFO copying pythondata_cpu_microwatt/vhdl/tests/364.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,193 root INFO copying pythondata_cpu_microwatt/vhdl/tests/364.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,194 root INFO copying pythondata_cpu_microwatt/vhdl/tests/365.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,195 root INFO copying pythondata_cpu_microwatt/vhdl/tests/365.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,196 root INFO copying pythondata_cpu_microwatt/vhdl/tests/366.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,197 root INFO copying pythondata_cpu_microwatt/vhdl/tests/366.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,198 root INFO copying pythondata_cpu_microwatt/vhdl/tests/367.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,199 root INFO copying pythondata_cpu_microwatt/vhdl/tests/367.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,200 root INFO copying pythondata_cpu_microwatt/vhdl/tests/368.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,201 root INFO copying pythondata_cpu_microwatt/vhdl/tests/368.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,202 root INFO copying pythondata_cpu_microwatt/vhdl/tests/369.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,203 root INFO copying pythondata_cpu_microwatt/vhdl/tests/369.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,204 root INFO copying pythondata_cpu_microwatt/vhdl/tests/37.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,205 root INFO copying pythondata_cpu_microwatt/vhdl/tests/37.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,206 root INFO copying pythondata_cpu_microwatt/vhdl/tests/370.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,207 root INFO copying pythondata_cpu_microwatt/vhdl/tests/370.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,208 root INFO copying pythondata_cpu_microwatt/vhdl/tests/371.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,209 root INFO copying pythondata_cpu_microwatt/vhdl/tests/371.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,210 root INFO copying pythondata_cpu_microwatt/vhdl/tests/372.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,211 root INFO copying pythondata_cpu_microwatt/vhdl/tests/372.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,212 root INFO copying pythondata_cpu_microwatt/vhdl/tests/373.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,213 root INFO copying pythondata_cpu_microwatt/vhdl/tests/373.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,214 root INFO copying pythondata_cpu_microwatt/vhdl/tests/374.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,215 root INFO copying pythondata_cpu_microwatt/vhdl/tests/374.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,216 root INFO copying pythondata_cpu_microwatt/vhdl/tests/375.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,217 root INFO copying pythondata_cpu_microwatt/vhdl/tests/375.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,218 root INFO copying pythondata_cpu_microwatt/vhdl/tests/376.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,219 root INFO copying pythondata_cpu_microwatt/vhdl/tests/376.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,220 root INFO copying pythondata_cpu_microwatt/vhdl/tests/377.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,221 root INFO copying pythondata_cpu_microwatt/vhdl/tests/377.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,222 root INFO copying pythondata_cpu_microwatt/vhdl/tests/378.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,223 root INFO copying pythondata_cpu_microwatt/vhdl/tests/378.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,224 root INFO copying pythondata_cpu_microwatt/vhdl/tests/379.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,225 root INFO copying pythondata_cpu_microwatt/vhdl/tests/379.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,226 root INFO copying pythondata_cpu_microwatt/vhdl/tests/38.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,227 root INFO copying pythondata_cpu_microwatt/vhdl/tests/38.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,228 root INFO copying pythondata_cpu_microwatt/vhdl/tests/380.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,229 root INFO copying pythondata_cpu_microwatt/vhdl/tests/380.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,230 root INFO copying pythondata_cpu_microwatt/vhdl/tests/381.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,231 root INFO copying pythondata_cpu_microwatt/vhdl/tests/381.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,232 root INFO copying pythondata_cpu_microwatt/vhdl/tests/382.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,233 root INFO copying pythondata_cpu_microwatt/vhdl/tests/382.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,234 root INFO copying pythondata_cpu_microwatt/vhdl/tests/383.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,235 root INFO copying pythondata_cpu_microwatt/vhdl/tests/383.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,235 root INFO copying pythondata_cpu_microwatt/vhdl/tests/384.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,237 root INFO copying pythondata_cpu_microwatt/vhdl/tests/384.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,237 root INFO copying pythondata_cpu_microwatt/vhdl/tests/385.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,238 root INFO copying pythondata_cpu_microwatt/vhdl/tests/385.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,239 root INFO copying pythondata_cpu_microwatt/vhdl/tests/386.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,240 root INFO copying pythondata_cpu_microwatt/vhdl/tests/386.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,241 root INFO copying pythondata_cpu_microwatt/vhdl/tests/387.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,242 root INFO copying pythondata_cpu_microwatt/vhdl/tests/387.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,243 root INFO copying pythondata_cpu_microwatt/vhdl/tests/388.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,244 root INFO copying pythondata_cpu_microwatt/vhdl/tests/388.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,245 root INFO copying pythondata_cpu_microwatt/vhdl/tests/389.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,246 root INFO copying pythondata_cpu_microwatt/vhdl/tests/389.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,247 root INFO copying pythondata_cpu_microwatt/vhdl/tests/39.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,248 root INFO copying pythondata_cpu_microwatt/vhdl/tests/39.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,249 root INFO copying pythondata_cpu_microwatt/vhdl/tests/390.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,250 root INFO copying pythondata_cpu_microwatt/vhdl/tests/390.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,251 root INFO copying pythondata_cpu_microwatt/vhdl/tests/391.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,252 root INFO copying pythondata_cpu_microwatt/vhdl/tests/391.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,253 root INFO copying pythondata_cpu_microwatt/vhdl/tests/392.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,254 root INFO copying pythondata_cpu_microwatt/vhdl/tests/392.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,255 root INFO copying pythondata_cpu_microwatt/vhdl/tests/393.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,256 root INFO copying pythondata_cpu_microwatt/vhdl/tests/393.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,257 root INFO copying pythondata_cpu_microwatt/vhdl/tests/394.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,258 root INFO copying pythondata_cpu_microwatt/vhdl/tests/394.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,259 root INFO copying pythondata_cpu_microwatt/vhdl/tests/395.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,260 root INFO copying pythondata_cpu_microwatt/vhdl/tests/395.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,261 root INFO copying pythondata_cpu_microwatt/vhdl/tests/396.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,262 root INFO copying pythondata_cpu_microwatt/vhdl/tests/396.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,263 root INFO copying pythondata_cpu_microwatt/vhdl/tests/397.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,264 root INFO copying pythondata_cpu_microwatt/vhdl/tests/397.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,265 root INFO copying pythondata_cpu_microwatt/vhdl/tests/398.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,266 root INFO copying pythondata_cpu_microwatt/vhdl/tests/398.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,267 root INFO copying pythondata_cpu_microwatt/vhdl/tests/399.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,268 root INFO copying pythondata_cpu_microwatt/vhdl/tests/399.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,269 root INFO copying pythondata_cpu_microwatt/vhdl/tests/4.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,270 root INFO copying pythondata_cpu_microwatt/vhdl/tests/4.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,271 root INFO copying pythondata_cpu_microwatt/vhdl/tests/40.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,272 root INFO copying pythondata_cpu_microwatt/vhdl/tests/40.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,273 root INFO copying pythondata_cpu_microwatt/vhdl/tests/400.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,274 root INFO copying pythondata_cpu_microwatt/vhdl/tests/400.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,275 root INFO copying pythondata_cpu_microwatt/vhdl/tests/401.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,276 root INFO copying pythondata_cpu_microwatt/vhdl/tests/401.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,277 root INFO copying pythondata_cpu_microwatt/vhdl/tests/402.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,278 root INFO copying pythondata_cpu_microwatt/vhdl/tests/402.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,279 root INFO copying pythondata_cpu_microwatt/vhdl/tests/403.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,280 root INFO copying pythondata_cpu_microwatt/vhdl/tests/403.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,281 root INFO copying pythondata_cpu_microwatt/vhdl/tests/404.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,282 root INFO copying pythondata_cpu_microwatt/vhdl/tests/404.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,283 root INFO copying pythondata_cpu_microwatt/vhdl/tests/405.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,284 root INFO copying pythondata_cpu_microwatt/vhdl/tests/405.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,285 root INFO copying pythondata_cpu_microwatt/vhdl/tests/406.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,286 root INFO copying pythondata_cpu_microwatt/vhdl/tests/406.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,287 root INFO copying pythondata_cpu_microwatt/vhdl/tests/407.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,288 root INFO copying pythondata_cpu_microwatt/vhdl/tests/407.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,289 root INFO copying pythondata_cpu_microwatt/vhdl/tests/408.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,290 root INFO copying pythondata_cpu_microwatt/vhdl/tests/408.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,291 root INFO copying pythondata_cpu_microwatt/vhdl/tests/409.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,292 root INFO copying pythondata_cpu_microwatt/vhdl/tests/409.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,293 root INFO copying pythondata_cpu_microwatt/vhdl/tests/41.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,294 root INFO copying pythondata_cpu_microwatt/vhdl/tests/41.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,295 root INFO copying pythondata_cpu_microwatt/vhdl/tests/410.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,296 root INFO copying pythondata_cpu_microwatt/vhdl/tests/410.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,297 root INFO copying pythondata_cpu_microwatt/vhdl/tests/411.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,298 root INFO copying pythondata_cpu_microwatt/vhdl/tests/411.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,299 root INFO copying pythondata_cpu_microwatt/vhdl/tests/412.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,300 root INFO copying pythondata_cpu_microwatt/vhdl/tests/412.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,301 root INFO copying pythondata_cpu_microwatt/vhdl/tests/413.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,302 root INFO copying pythondata_cpu_microwatt/vhdl/tests/413.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,303 root INFO copying pythondata_cpu_microwatt/vhdl/tests/414.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,304 root INFO copying pythondata_cpu_microwatt/vhdl/tests/414.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,305 root INFO copying pythondata_cpu_microwatt/vhdl/tests/415.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,306 root INFO copying pythondata_cpu_microwatt/vhdl/tests/415.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,307 root INFO copying pythondata_cpu_microwatt/vhdl/tests/416.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,308 root INFO copying pythondata_cpu_microwatt/vhdl/tests/416.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,309 root INFO copying pythondata_cpu_microwatt/vhdl/tests/417.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,310 root INFO copying pythondata_cpu_microwatt/vhdl/tests/417.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,310 root INFO copying pythondata_cpu_microwatt/vhdl/tests/418.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,312 root INFO copying pythondata_cpu_microwatt/vhdl/tests/418.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,313 root INFO copying pythondata_cpu_microwatt/vhdl/tests/419.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,314 root INFO copying pythondata_cpu_microwatt/vhdl/tests/419.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,315 root INFO copying pythondata_cpu_microwatt/vhdl/tests/42.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,316 root INFO copying pythondata_cpu_microwatt/vhdl/tests/42.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,316 root INFO copying pythondata_cpu_microwatt/vhdl/tests/420.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,318 root INFO copying pythondata_cpu_microwatt/vhdl/tests/420.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,318 root INFO copying pythondata_cpu_microwatt/vhdl/tests/421.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,320 root INFO copying pythondata_cpu_microwatt/vhdl/tests/421.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,320 root INFO copying pythondata_cpu_microwatt/vhdl/tests/422.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,322 root INFO copying pythondata_cpu_microwatt/vhdl/tests/422.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,322 root INFO copying pythondata_cpu_microwatt/vhdl/tests/423.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,323 root INFO copying pythondata_cpu_microwatt/vhdl/tests/423.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,324 root INFO copying pythondata_cpu_microwatt/vhdl/tests/424.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,326 root INFO copying pythondata_cpu_microwatt/vhdl/tests/424.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,326 root INFO copying pythondata_cpu_microwatt/vhdl/tests/425.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,328 root INFO copying pythondata_cpu_microwatt/vhdl/tests/425.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,328 root INFO copying pythondata_cpu_microwatt/vhdl/tests/426.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,329 root INFO copying pythondata_cpu_microwatt/vhdl/tests/426.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,330 root INFO copying pythondata_cpu_microwatt/vhdl/tests/427.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,331 root INFO copying pythondata_cpu_microwatt/vhdl/tests/427.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,332 root INFO copying pythondata_cpu_microwatt/vhdl/tests/428.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,333 root INFO copying pythondata_cpu_microwatt/vhdl/tests/428.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,334 root INFO copying pythondata_cpu_microwatt/vhdl/tests/429.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,335 root INFO copying pythondata_cpu_microwatt/vhdl/tests/429.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,336 root INFO copying pythondata_cpu_microwatt/vhdl/tests/43.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,337 root INFO copying pythondata_cpu_microwatt/vhdl/tests/43.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,338 root INFO copying pythondata_cpu_microwatt/vhdl/tests/430.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,339 root INFO copying pythondata_cpu_microwatt/vhdl/tests/430.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,340 root INFO copying pythondata_cpu_microwatt/vhdl/tests/431.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,341 root INFO copying pythondata_cpu_microwatt/vhdl/tests/431.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,342 root INFO copying pythondata_cpu_microwatt/vhdl/tests/432.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,343 root INFO copying pythondata_cpu_microwatt/vhdl/tests/432.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,344 root INFO copying pythondata_cpu_microwatt/vhdl/tests/433.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,345 root INFO copying pythondata_cpu_microwatt/vhdl/tests/433.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,346 root INFO copying pythondata_cpu_microwatt/vhdl/tests/434.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,347 root INFO copying pythondata_cpu_microwatt/vhdl/tests/434.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,348 root INFO copying pythondata_cpu_microwatt/vhdl/tests/435.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,349 root INFO copying pythondata_cpu_microwatt/vhdl/tests/435.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,350 root INFO copying pythondata_cpu_microwatt/vhdl/tests/436.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,351 root INFO copying pythondata_cpu_microwatt/vhdl/tests/436.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,352 root INFO copying pythondata_cpu_microwatt/vhdl/tests/437.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,353 root INFO copying pythondata_cpu_microwatt/vhdl/tests/437.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,354 root INFO copying pythondata_cpu_microwatt/vhdl/tests/438.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,355 root INFO copying pythondata_cpu_microwatt/vhdl/tests/438.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,356 root INFO copying pythondata_cpu_microwatt/vhdl/tests/439.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,357 root INFO copying pythondata_cpu_microwatt/vhdl/tests/439.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,358 root INFO copying pythondata_cpu_microwatt/vhdl/tests/44.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,359 root INFO copying pythondata_cpu_microwatt/vhdl/tests/44.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,360 root INFO copying pythondata_cpu_microwatt/vhdl/tests/440.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,361 root INFO copying pythondata_cpu_microwatt/vhdl/tests/440.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,362 root INFO copying pythondata_cpu_microwatt/vhdl/tests/441.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,363 root INFO copying pythondata_cpu_microwatt/vhdl/tests/441.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,364 root INFO copying pythondata_cpu_microwatt/vhdl/tests/442.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,365 root INFO copying pythondata_cpu_microwatt/vhdl/tests/442.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,366 root INFO copying pythondata_cpu_microwatt/vhdl/tests/443.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,367 root INFO copying pythondata_cpu_microwatt/vhdl/tests/443.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,368 root INFO copying pythondata_cpu_microwatt/vhdl/tests/444.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,369 root INFO copying pythondata_cpu_microwatt/vhdl/tests/444.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,370 root INFO copying pythondata_cpu_microwatt/vhdl/tests/445.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,371 root INFO copying pythondata_cpu_microwatt/vhdl/tests/445.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,372 root INFO copying pythondata_cpu_microwatt/vhdl/tests/446.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,373 root INFO copying pythondata_cpu_microwatt/vhdl/tests/446.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,374 root INFO copying pythondata_cpu_microwatt/vhdl/tests/447.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,375 root INFO copying pythondata_cpu_microwatt/vhdl/tests/447.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,376 root INFO copying pythondata_cpu_microwatt/vhdl/tests/448.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,377 root INFO copying pythondata_cpu_microwatt/vhdl/tests/448.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,378 root INFO copying pythondata_cpu_microwatt/vhdl/tests/449.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,379 root INFO copying pythondata_cpu_microwatt/vhdl/tests/449.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,380 root INFO copying pythondata_cpu_microwatt/vhdl/tests/45.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,381 root INFO copying pythondata_cpu_microwatt/vhdl/tests/45.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,382 root INFO copying pythondata_cpu_microwatt/vhdl/tests/450.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,383 root INFO copying pythondata_cpu_microwatt/vhdl/tests/450.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,384 root INFO copying pythondata_cpu_microwatt/vhdl/tests/451.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,385 root INFO copying pythondata_cpu_microwatt/vhdl/tests/451.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,386 root INFO copying pythondata_cpu_microwatt/vhdl/tests/452.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,387 root INFO copying pythondata_cpu_microwatt/vhdl/tests/452.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,388 root INFO copying pythondata_cpu_microwatt/vhdl/tests/453.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,389 root INFO copying pythondata_cpu_microwatt/vhdl/tests/453.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,390 root INFO copying pythondata_cpu_microwatt/vhdl/tests/454.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,391 root INFO copying pythondata_cpu_microwatt/vhdl/tests/454.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,392 root INFO copying pythondata_cpu_microwatt/vhdl/tests/455.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,393 root INFO copying pythondata_cpu_microwatt/vhdl/tests/455.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,394 root INFO copying pythondata_cpu_microwatt/vhdl/tests/456.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,395 root INFO copying pythondata_cpu_microwatt/vhdl/tests/456.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,396 root INFO copying pythondata_cpu_microwatt/vhdl/tests/457.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,397 root INFO copying pythondata_cpu_microwatt/vhdl/tests/457.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,398 root INFO copying pythondata_cpu_microwatt/vhdl/tests/458.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,399 root INFO copying pythondata_cpu_microwatt/vhdl/tests/458.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,399 root INFO copying pythondata_cpu_microwatt/vhdl/tests/459.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,401 root INFO copying pythondata_cpu_microwatt/vhdl/tests/459.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,401 root INFO copying pythondata_cpu_microwatt/vhdl/tests/46.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,402 root INFO copying pythondata_cpu_microwatt/vhdl/tests/46.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,403 root INFO copying pythondata_cpu_microwatt/vhdl/tests/460.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,404 root INFO copying pythondata_cpu_microwatt/vhdl/tests/460.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,405 root INFO copying pythondata_cpu_microwatt/vhdl/tests/461.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,406 root INFO copying pythondata_cpu_microwatt/vhdl/tests/461.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,407 root INFO copying pythondata_cpu_microwatt/vhdl/tests/462.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,408 root INFO copying pythondata_cpu_microwatt/vhdl/tests/462.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,409 root INFO copying pythondata_cpu_microwatt/vhdl/tests/463.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,410 root INFO copying pythondata_cpu_microwatt/vhdl/tests/463.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,411 root INFO copying pythondata_cpu_microwatt/vhdl/tests/464.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,412 root INFO copying pythondata_cpu_microwatt/vhdl/tests/464.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,413 root INFO copying pythondata_cpu_microwatt/vhdl/tests/465.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,414 root INFO copying pythondata_cpu_microwatt/vhdl/tests/465.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,415 root INFO copying pythondata_cpu_microwatt/vhdl/tests/466.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,416 root INFO copying pythondata_cpu_microwatt/vhdl/tests/466.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,417 root INFO copying pythondata_cpu_microwatt/vhdl/tests/467.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,418 root INFO copying pythondata_cpu_microwatt/vhdl/tests/467.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,419 root INFO copying pythondata_cpu_microwatt/vhdl/tests/468.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,420 root INFO copying pythondata_cpu_microwatt/vhdl/tests/468.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,421 root INFO copying pythondata_cpu_microwatt/vhdl/tests/469.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,422 root INFO copying pythondata_cpu_microwatt/vhdl/tests/469.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,423 root INFO copying pythondata_cpu_microwatt/vhdl/tests/47.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,424 root INFO copying pythondata_cpu_microwatt/vhdl/tests/47.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,425 root INFO copying pythondata_cpu_microwatt/vhdl/tests/470.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,426 root INFO copying pythondata_cpu_microwatt/vhdl/tests/470.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,427 root INFO copying pythondata_cpu_microwatt/vhdl/tests/471.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,428 root INFO copying pythondata_cpu_microwatt/vhdl/tests/471.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,429 root INFO copying pythondata_cpu_microwatt/vhdl/tests/472.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,430 root INFO copying pythondata_cpu_microwatt/vhdl/tests/472.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,431 root INFO copying pythondata_cpu_microwatt/vhdl/tests/473.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,432 root INFO copying pythondata_cpu_microwatt/vhdl/tests/473.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,433 root INFO copying pythondata_cpu_microwatt/vhdl/tests/474.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,434 root INFO copying pythondata_cpu_microwatt/vhdl/tests/474.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,435 root INFO copying pythondata_cpu_microwatt/vhdl/tests/475.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,436 root INFO copying pythondata_cpu_microwatt/vhdl/tests/475.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,437 root INFO copying pythondata_cpu_microwatt/vhdl/tests/476.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,438 root INFO copying pythondata_cpu_microwatt/vhdl/tests/476.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,439 root INFO copying pythondata_cpu_microwatt/vhdl/tests/477.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,440 root INFO copying pythondata_cpu_microwatt/vhdl/tests/477.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,441 root INFO copying pythondata_cpu_microwatt/vhdl/tests/478.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,442 root INFO copying pythondata_cpu_microwatt/vhdl/tests/478.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,443 root INFO copying pythondata_cpu_microwatt/vhdl/tests/479.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,444 root INFO copying pythondata_cpu_microwatt/vhdl/tests/479.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,445 root INFO copying pythondata_cpu_microwatt/vhdl/tests/48.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,446 root INFO copying pythondata_cpu_microwatt/vhdl/tests/48.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,447 root INFO copying pythondata_cpu_microwatt/vhdl/tests/480.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,448 root INFO copying pythondata_cpu_microwatt/vhdl/tests/480.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,449 root INFO copying pythondata_cpu_microwatt/vhdl/tests/481.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,450 root INFO copying pythondata_cpu_microwatt/vhdl/tests/481.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,451 root INFO copying pythondata_cpu_microwatt/vhdl/tests/482.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,452 root INFO copying pythondata_cpu_microwatt/vhdl/tests/482.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,453 root INFO copying pythondata_cpu_microwatt/vhdl/tests/483.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,454 root INFO copying pythondata_cpu_microwatt/vhdl/tests/483.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,455 root INFO copying pythondata_cpu_microwatt/vhdl/tests/484.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,456 root INFO copying pythondata_cpu_microwatt/vhdl/tests/484.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,457 root INFO copying pythondata_cpu_microwatt/vhdl/tests/485.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,458 root INFO copying pythondata_cpu_microwatt/vhdl/tests/485.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,459 root INFO copying pythondata_cpu_microwatt/vhdl/tests/486.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,460 root INFO copying pythondata_cpu_microwatt/vhdl/tests/486.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,461 root INFO copying pythondata_cpu_microwatt/vhdl/tests/487.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,462 root INFO copying pythondata_cpu_microwatt/vhdl/tests/487.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,463 root INFO copying pythondata_cpu_microwatt/vhdl/tests/488.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,464 root INFO copying pythondata_cpu_microwatt/vhdl/tests/488.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,465 root INFO copying pythondata_cpu_microwatt/vhdl/tests/489.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,466 root INFO copying pythondata_cpu_microwatt/vhdl/tests/489.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,467 root INFO copying pythondata_cpu_microwatt/vhdl/tests/49.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,468 root INFO copying pythondata_cpu_microwatt/vhdl/tests/49.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,469 root INFO copying pythondata_cpu_microwatt/vhdl/tests/490.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,470 root INFO copying pythondata_cpu_microwatt/vhdl/tests/490.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,471 root INFO copying pythondata_cpu_microwatt/vhdl/tests/491.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,472 root INFO copying pythondata_cpu_microwatt/vhdl/tests/491.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,473 root INFO copying pythondata_cpu_microwatt/vhdl/tests/492.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,474 root INFO copying pythondata_cpu_microwatt/vhdl/tests/492.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,475 root INFO copying pythondata_cpu_microwatt/vhdl/tests/493.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,476 root INFO copying pythondata_cpu_microwatt/vhdl/tests/493.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,477 root INFO copying pythondata_cpu_microwatt/vhdl/tests/494.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,478 root INFO copying pythondata_cpu_microwatt/vhdl/tests/494.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,479 root INFO copying pythondata_cpu_microwatt/vhdl/tests/495.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,480 root INFO copying pythondata_cpu_microwatt/vhdl/tests/495.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,481 root INFO copying pythondata_cpu_microwatt/vhdl/tests/496.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,482 root INFO copying pythondata_cpu_microwatt/vhdl/tests/496.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,483 root INFO copying pythondata_cpu_microwatt/vhdl/tests/497.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,484 root INFO copying pythondata_cpu_microwatt/vhdl/tests/497.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,485 root INFO copying pythondata_cpu_microwatt/vhdl/tests/498.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,486 root INFO copying pythondata_cpu_microwatt/vhdl/tests/498.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,487 root INFO copying pythondata_cpu_microwatt/vhdl/tests/499.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,488 root INFO copying pythondata_cpu_microwatt/vhdl/tests/499.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,488 root INFO copying pythondata_cpu_microwatt/vhdl/tests/5.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,490 root INFO copying pythondata_cpu_microwatt/vhdl/tests/5.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,491 root INFO copying pythondata_cpu_microwatt/vhdl/tests/50.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,492 root INFO copying pythondata_cpu_microwatt/vhdl/tests/50.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,493 root INFO copying pythondata_cpu_microwatt/vhdl/tests/500.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,494 root INFO copying pythondata_cpu_microwatt/vhdl/tests/500.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,495 root INFO copying pythondata_cpu_microwatt/vhdl/tests/501.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,496 root INFO copying pythondata_cpu_microwatt/vhdl/tests/501.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,497 root INFO copying pythondata_cpu_microwatt/vhdl/tests/502.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,498 root INFO copying pythondata_cpu_microwatt/vhdl/tests/502.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,499 root INFO copying pythondata_cpu_microwatt/vhdl/tests/503.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,500 root INFO copying pythondata_cpu_microwatt/vhdl/tests/503.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,501 root INFO copying pythondata_cpu_microwatt/vhdl/tests/504.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,502 root INFO copying pythondata_cpu_microwatt/vhdl/tests/504.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,503 root INFO copying pythondata_cpu_microwatt/vhdl/tests/505.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,504 root INFO copying pythondata_cpu_microwatt/vhdl/tests/505.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,505 root INFO copying pythondata_cpu_microwatt/vhdl/tests/506.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,506 root INFO copying pythondata_cpu_microwatt/vhdl/tests/506.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,507 root INFO copying pythondata_cpu_microwatt/vhdl/tests/507.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,508 root INFO copying pythondata_cpu_microwatt/vhdl/tests/507.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,509 root INFO copying pythondata_cpu_microwatt/vhdl/tests/508.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,510 root INFO copying pythondata_cpu_microwatt/vhdl/tests/508.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,511 root INFO copying pythondata_cpu_microwatt/vhdl/tests/509.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,512 root INFO copying pythondata_cpu_microwatt/vhdl/tests/509.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,513 root INFO copying pythondata_cpu_microwatt/vhdl/tests/51.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,514 root INFO copying pythondata_cpu_microwatt/vhdl/tests/51.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,515 root INFO copying pythondata_cpu_microwatt/vhdl/tests/510.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,516 root INFO copying pythondata_cpu_microwatt/vhdl/tests/510.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,517 root INFO copying pythondata_cpu_microwatt/vhdl/tests/511.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,518 root INFO copying pythondata_cpu_microwatt/vhdl/tests/511.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,519 root INFO copying pythondata_cpu_microwatt/vhdl/tests/512.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,520 root INFO copying pythondata_cpu_microwatt/vhdl/tests/512.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,520 root INFO copying pythondata_cpu_microwatt/vhdl/tests/513.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,522 root INFO copying pythondata_cpu_microwatt/vhdl/tests/513.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,522 root INFO copying pythondata_cpu_microwatt/vhdl/tests/514.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,523 root INFO copying pythondata_cpu_microwatt/vhdl/tests/514.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,524 root INFO copying pythondata_cpu_microwatt/vhdl/tests/515.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,525 root INFO copying pythondata_cpu_microwatt/vhdl/tests/515.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,526 root INFO copying pythondata_cpu_microwatt/vhdl/tests/516.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,527 root INFO copying pythondata_cpu_microwatt/vhdl/tests/516.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,528 root INFO copying pythondata_cpu_microwatt/vhdl/tests/517.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,529 root INFO copying pythondata_cpu_microwatt/vhdl/tests/517.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,530 root INFO copying pythondata_cpu_microwatt/vhdl/tests/518.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,531 root INFO copying pythondata_cpu_microwatt/vhdl/tests/518.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,532 root INFO copying pythondata_cpu_microwatt/vhdl/tests/519.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,533 root INFO copying pythondata_cpu_microwatt/vhdl/tests/519.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,534 root INFO copying pythondata_cpu_microwatt/vhdl/tests/52.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,535 root INFO copying pythondata_cpu_microwatt/vhdl/tests/52.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,536 root INFO copying pythondata_cpu_microwatt/vhdl/tests/520.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,537 root INFO copying pythondata_cpu_microwatt/vhdl/tests/520.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,538 root INFO copying pythondata_cpu_microwatt/vhdl/tests/521.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,539 root INFO copying pythondata_cpu_microwatt/vhdl/tests/521.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,540 root INFO copying pythondata_cpu_microwatt/vhdl/tests/522.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,541 root INFO copying pythondata_cpu_microwatt/vhdl/tests/522.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,542 root INFO copying pythondata_cpu_microwatt/vhdl/tests/523.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,543 root INFO copying pythondata_cpu_microwatt/vhdl/tests/523.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,544 root INFO copying pythondata_cpu_microwatt/vhdl/tests/524.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,545 root INFO copying pythondata_cpu_microwatt/vhdl/tests/524.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,546 root INFO copying pythondata_cpu_microwatt/vhdl/tests/525.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,547 root INFO copying pythondata_cpu_microwatt/vhdl/tests/525.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,548 root INFO copying pythondata_cpu_microwatt/vhdl/tests/526.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,549 root INFO copying pythondata_cpu_microwatt/vhdl/tests/526.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,550 root INFO copying pythondata_cpu_microwatt/vhdl/tests/527.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,551 root INFO copying pythondata_cpu_microwatt/vhdl/tests/527.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,552 root INFO copying pythondata_cpu_microwatt/vhdl/tests/528.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,553 root INFO copying pythondata_cpu_microwatt/vhdl/tests/528.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,554 root INFO copying pythondata_cpu_microwatt/vhdl/tests/529.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,555 root INFO copying pythondata_cpu_microwatt/vhdl/tests/529.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,556 root INFO copying pythondata_cpu_microwatt/vhdl/tests/53.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,557 root INFO copying pythondata_cpu_microwatt/vhdl/tests/53.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,558 root INFO copying pythondata_cpu_microwatt/vhdl/tests/530.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,559 root INFO copying pythondata_cpu_microwatt/vhdl/tests/530.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,560 root INFO copying pythondata_cpu_microwatt/vhdl/tests/531.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,561 root INFO copying pythondata_cpu_microwatt/vhdl/tests/531.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,562 root INFO copying pythondata_cpu_microwatt/vhdl/tests/532.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,563 root INFO copying pythondata_cpu_microwatt/vhdl/tests/532.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,564 root INFO copying pythondata_cpu_microwatt/vhdl/tests/533.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,565 root INFO copying pythondata_cpu_microwatt/vhdl/tests/533.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,566 root INFO copying pythondata_cpu_microwatt/vhdl/tests/534.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,567 root INFO copying pythondata_cpu_microwatt/vhdl/tests/534.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,568 root INFO copying pythondata_cpu_microwatt/vhdl/tests/535.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,569 root INFO copying pythondata_cpu_microwatt/vhdl/tests/535.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,570 root INFO copying pythondata_cpu_microwatt/vhdl/tests/536.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,571 root INFO copying pythondata_cpu_microwatt/vhdl/tests/536.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,572 root INFO copying pythondata_cpu_microwatt/vhdl/tests/537.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,573 root INFO copying pythondata_cpu_microwatt/vhdl/tests/537.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,574 root INFO copying pythondata_cpu_microwatt/vhdl/tests/538.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,575 root INFO copying pythondata_cpu_microwatt/vhdl/tests/538.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,576 root INFO copying pythondata_cpu_microwatt/vhdl/tests/539.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,577 root INFO copying pythondata_cpu_microwatt/vhdl/tests/539.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,578 root INFO copying pythondata_cpu_microwatt/vhdl/tests/54.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,579 root INFO copying pythondata_cpu_microwatt/vhdl/tests/54.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,580 root INFO copying pythondata_cpu_microwatt/vhdl/tests/540.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,581 root INFO copying pythondata_cpu_microwatt/vhdl/tests/540.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,582 root INFO copying pythondata_cpu_microwatt/vhdl/tests/541.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,583 root INFO copying pythondata_cpu_microwatt/vhdl/tests/541.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,584 root INFO copying pythondata_cpu_microwatt/vhdl/tests/542.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,585 root INFO copying pythondata_cpu_microwatt/vhdl/tests/542.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,586 root INFO copying pythondata_cpu_microwatt/vhdl/tests/543.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,587 root INFO copying pythondata_cpu_microwatt/vhdl/tests/543.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,588 root INFO copying pythondata_cpu_microwatt/vhdl/tests/544.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,589 root INFO copying pythondata_cpu_microwatt/vhdl/tests/544.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,590 root INFO copying pythondata_cpu_microwatt/vhdl/tests/545.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,591 root INFO copying pythondata_cpu_microwatt/vhdl/tests/545.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,592 root INFO copying pythondata_cpu_microwatt/vhdl/tests/546.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,593 root INFO copying pythondata_cpu_microwatt/vhdl/tests/546.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,594 root INFO copying pythondata_cpu_microwatt/vhdl/tests/547.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,595 root INFO copying pythondata_cpu_microwatt/vhdl/tests/547.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,596 root INFO copying pythondata_cpu_microwatt/vhdl/tests/548.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,597 root INFO copying pythondata_cpu_microwatt/vhdl/tests/548.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,598 root INFO copying pythondata_cpu_microwatt/vhdl/tests/549.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,599 root INFO copying pythondata_cpu_microwatt/vhdl/tests/549.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,600 root INFO copying pythondata_cpu_microwatt/vhdl/tests/55.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,601 root INFO copying pythondata_cpu_microwatt/vhdl/tests/55.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,602 root INFO copying pythondata_cpu_microwatt/vhdl/tests/550.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,603 root INFO copying pythondata_cpu_microwatt/vhdl/tests/550.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,604 root INFO copying pythondata_cpu_microwatt/vhdl/tests/551.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,605 root INFO copying pythondata_cpu_microwatt/vhdl/tests/551.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,606 root INFO copying pythondata_cpu_microwatt/vhdl/tests/552.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,607 root INFO copying pythondata_cpu_microwatt/vhdl/tests/552.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,608 root INFO copying pythondata_cpu_microwatt/vhdl/tests/553.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,609 root INFO copying pythondata_cpu_microwatt/vhdl/tests/553.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,610 root INFO copying pythondata_cpu_microwatt/vhdl/tests/554.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,611 root INFO copying pythondata_cpu_microwatt/vhdl/tests/554.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,612 root INFO copying pythondata_cpu_microwatt/vhdl/tests/555.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,613 root INFO copying pythondata_cpu_microwatt/vhdl/tests/555.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,614 root INFO copying pythondata_cpu_microwatt/vhdl/tests/556.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,615 root INFO copying pythondata_cpu_microwatt/vhdl/tests/556.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,616 root INFO copying pythondata_cpu_microwatt/vhdl/tests/557.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,617 root INFO copying pythondata_cpu_microwatt/vhdl/tests/557.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,617 root INFO copying pythondata_cpu_microwatt/vhdl/tests/558.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,619 root INFO copying pythondata_cpu_microwatt/vhdl/tests/558.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,619 root INFO copying pythondata_cpu_microwatt/vhdl/tests/559.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,621 root INFO copying pythondata_cpu_microwatt/vhdl/tests/559.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,621 root INFO copying pythondata_cpu_microwatt/vhdl/tests/56.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,623 root INFO copying pythondata_cpu_microwatt/vhdl/tests/56.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,623 root INFO copying pythondata_cpu_microwatt/vhdl/tests/560.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,624 root INFO copying pythondata_cpu_microwatt/vhdl/tests/560.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,625 root INFO copying pythondata_cpu_microwatt/vhdl/tests/561.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,626 root INFO copying pythondata_cpu_microwatt/vhdl/tests/561.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,627 root INFO copying pythondata_cpu_microwatt/vhdl/tests/562.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,628 root INFO copying pythondata_cpu_microwatt/vhdl/tests/562.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,629 root INFO copying pythondata_cpu_microwatt/vhdl/tests/563.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,630 root INFO copying pythondata_cpu_microwatt/vhdl/tests/563.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,631 root INFO copying pythondata_cpu_microwatt/vhdl/tests/564.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,632 root INFO copying pythondata_cpu_microwatt/vhdl/tests/564.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,633 root INFO copying pythondata_cpu_microwatt/vhdl/tests/565.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,634 root INFO copying pythondata_cpu_microwatt/vhdl/tests/565.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,635 root INFO copying pythondata_cpu_microwatt/vhdl/tests/566.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,636 root INFO copying pythondata_cpu_microwatt/vhdl/tests/566.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,637 root INFO copying pythondata_cpu_microwatt/vhdl/tests/567.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,638 root INFO copying pythondata_cpu_microwatt/vhdl/tests/567.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,639 root INFO copying pythondata_cpu_microwatt/vhdl/tests/568.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,640 root INFO copying pythondata_cpu_microwatt/vhdl/tests/568.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,641 root INFO copying pythondata_cpu_microwatt/vhdl/tests/569.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,642 root INFO copying pythondata_cpu_microwatt/vhdl/tests/569.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,643 root INFO copying pythondata_cpu_microwatt/vhdl/tests/57.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,644 root INFO copying pythondata_cpu_microwatt/vhdl/tests/57.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,645 root INFO copying pythondata_cpu_microwatt/vhdl/tests/570.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,646 root INFO copying pythondata_cpu_microwatt/vhdl/tests/570.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,647 root INFO copying pythondata_cpu_microwatt/vhdl/tests/571.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,648 root INFO copying pythondata_cpu_microwatt/vhdl/tests/571.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,649 root INFO copying pythondata_cpu_microwatt/vhdl/tests/572.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,650 root INFO copying pythondata_cpu_microwatt/vhdl/tests/572.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,651 root INFO copying pythondata_cpu_microwatt/vhdl/tests/573.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,652 root INFO copying pythondata_cpu_microwatt/vhdl/tests/573.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,653 root INFO copying pythondata_cpu_microwatt/vhdl/tests/574.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,654 root INFO copying pythondata_cpu_microwatt/vhdl/tests/574.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,655 root INFO copying pythondata_cpu_microwatt/vhdl/tests/575.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,656 root INFO copying pythondata_cpu_microwatt/vhdl/tests/575.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,657 root INFO copying pythondata_cpu_microwatt/vhdl/tests/576.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,658 root INFO copying pythondata_cpu_microwatt/vhdl/tests/576.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,659 root INFO copying pythondata_cpu_microwatt/vhdl/tests/577.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,660 root INFO copying pythondata_cpu_microwatt/vhdl/tests/577.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,661 root INFO copying pythondata_cpu_microwatt/vhdl/tests/578.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,662 root INFO copying pythondata_cpu_microwatt/vhdl/tests/578.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,663 root INFO copying pythondata_cpu_microwatt/vhdl/tests/579.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,664 root INFO copying pythondata_cpu_microwatt/vhdl/tests/579.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,665 root INFO copying pythondata_cpu_microwatt/vhdl/tests/58.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,666 root INFO copying pythondata_cpu_microwatt/vhdl/tests/58.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,667 root INFO copying pythondata_cpu_microwatt/vhdl/tests/580.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,668 root INFO copying pythondata_cpu_microwatt/vhdl/tests/580.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,669 root INFO copying pythondata_cpu_microwatt/vhdl/tests/581.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,670 root INFO copying pythondata_cpu_microwatt/vhdl/tests/581.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,671 root INFO copying pythondata_cpu_microwatt/vhdl/tests/582.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,672 root INFO copying pythondata_cpu_microwatt/vhdl/tests/582.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,673 root INFO copying pythondata_cpu_microwatt/vhdl/tests/583.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,674 root INFO copying pythondata_cpu_microwatt/vhdl/tests/583.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,675 root INFO copying pythondata_cpu_microwatt/vhdl/tests/584.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,676 root INFO copying pythondata_cpu_microwatt/vhdl/tests/584.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,677 root INFO copying pythondata_cpu_microwatt/vhdl/tests/585.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,678 root INFO copying pythondata_cpu_microwatt/vhdl/tests/585.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,679 root INFO copying pythondata_cpu_microwatt/vhdl/tests/586.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,680 root INFO copying pythondata_cpu_microwatt/vhdl/tests/586.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,681 root INFO copying pythondata_cpu_microwatt/vhdl/tests/587.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,682 root INFO copying pythondata_cpu_microwatt/vhdl/tests/587.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,683 root INFO copying pythondata_cpu_microwatt/vhdl/tests/588.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,684 root INFO copying pythondata_cpu_microwatt/vhdl/tests/588.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,685 root INFO copying pythondata_cpu_microwatt/vhdl/tests/589.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,686 root INFO copying pythondata_cpu_microwatt/vhdl/tests/589.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,686 root INFO copying pythondata_cpu_microwatt/vhdl/tests/59.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,688 root INFO copying pythondata_cpu_microwatt/vhdl/tests/59.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,688 root INFO copying pythondata_cpu_microwatt/vhdl/tests/590.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,689 root INFO copying pythondata_cpu_microwatt/vhdl/tests/590.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,690 root INFO copying pythondata_cpu_microwatt/vhdl/tests/591.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,691 root INFO copying pythondata_cpu_microwatt/vhdl/tests/591.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,692 root INFO copying pythondata_cpu_microwatt/vhdl/tests/592.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,694 root INFO copying pythondata_cpu_microwatt/vhdl/tests/592.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,694 root INFO copying pythondata_cpu_microwatt/vhdl/tests/593.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,696 root INFO copying pythondata_cpu_microwatt/vhdl/tests/593.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,696 root INFO copying pythondata_cpu_microwatt/vhdl/tests/594.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,697 root INFO copying pythondata_cpu_microwatt/vhdl/tests/594.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,698 root INFO copying pythondata_cpu_microwatt/vhdl/tests/595.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,699 root INFO copying pythondata_cpu_microwatt/vhdl/tests/595.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,700 root INFO copying pythondata_cpu_microwatt/vhdl/tests/596.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,701 root INFO copying pythondata_cpu_microwatt/vhdl/tests/596.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,702 root INFO copying pythondata_cpu_microwatt/vhdl/tests/597.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,703 root INFO copying pythondata_cpu_microwatt/vhdl/tests/597.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,704 root INFO copying pythondata_cpu_microwatt/vhdl/tests/598.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,705 root INFO copying pythondata_cpu_microwatt/vhdl/tests/598.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,706 root INFO copying pythondata_cpu_microwatt/vhdl/tests/599.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,707 root INFO copying pythondata_cpu_microwatt/vhdl/tests/599.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,708 root INFO copying pythondata_cpu_microwatt/vhdl/tests/6.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,709 root INFO copying pythondata_cpu_microwatt/vhdl/tests/6.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,710 root INFO copying pythondata_cpu_microwatt/vhdl/tests/60.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,711 root INFO copying pythondata_cpu_microwatt/vhdl/tests/60.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,712 root INFO copying pythondata_cpu_microwatt/vhdl/tests/600.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,713 root INFO copying pythondata_cpu_microwatt/vhdl/tests/600.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,714 root INFO copying pythondata_cpu_microwatt/vhdl/tests/601.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,715 root INFO copying pythondata_cpu_microwatt/vhdl/tests/601.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,716 root INFO copying pythondata_cpu_microwatt/vhdl/tests/602.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,717 root INFO copying pythondata_cpu_microwatt/vhdl/tests/602.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,718 root INFO copying pythondata_cpu_microwatt/vhdl/tests/603.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,719 root INFO copying pythondata_cpu_microwatt/vhdl/tests/603.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,720 root INFO copying pythondata_cpu_microwatt/vhdl/tests/604.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,721 root INFO copying pythondata_cpu_microwatt/vhdl/tests/604.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,722 root INFO copying pythondata_cpu_microwatt/vhdl/tests/605.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,723 root INFO copying pythondata_cpu_microwatt/vhdl/tests/605.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,724 root INFO copying pythondata_cpu_microwatt/vhdl/tests/606.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,725 root INFO copying pythondata_cpu_microwatt/vhdl/tests/606.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,726 root INFO copying pythondata_cpu_microwatt/vhdl/tests/607.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,727 root INFO copying pythondata_cpu_microwatt/vhdl/tests/607.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,728 root INFO copying pythondata_cpu_microwatt/vhdl/tests/608.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,729 root INFO copying pythondata_cpu_microwatt/vhdl/tests/608.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,730 root INFO copying pythondata_cpu_microwatt/vhdl/tests/609.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,731 root INFO copying pythondata_cpu_microwatt/vhdl/tests/609.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,732 root INFO copying pythondata_cpu_microwatt/vhdl/tests/61.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,733 root INFO copying pythondata_cpu_microwatt/vhdl/tests/61.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,734 root INFO copying pythondata_cpu_microwatt/vhdl/tests/610.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,735 root INFO copying pythondata_cpu_microwatt/vhdl/tests/610.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,736 root INFO copying pythondata_cpu_microwatt/vhdl/tests/611.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,737 root INFO copying pythondata_cpu_microwatt/vhdl/tests/611.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,738 root INFO copying pythondata_cpu_microwatt/vhdl/tests/612.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,739 root INFO copying pythondata_cpu_microwatt/vhdl/tests/612.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,740 root INFO copying pythondata_cpu_microwatt/vhdl/tests/613.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,741 root INFO copying pythondata_cpu_microwatt/vhdl/tests/613.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,742 root INFO copying pythondata_cpu_microwatt/vhdl/tests/614.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,743 root INFO copying pythondata_cpu_microwatt/vhdl/tests/614.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,744 root INFO copying pythondata_cpu_microwatt/vhdl/tests/615.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,745 root INFO copying pythondata_cpu_microwatt/vhdl/tests/615.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,746 root INFO copying pythondata_cpu_microwatt/vhdl/tests/616.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,747 root INFO copying pythondata_cpu_microwatt/vhdl/tests/616.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,752 root INFO copying pythondata_cpu_microwatt/vhdl/tests/617.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,753 root INFO copying pythondata_cpu_microwatt/vhdl/tests/617.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,754 root INFO copying pythondata_cpu_microwatt/vhdl/tests/618.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,755 root INFO copying pythondata_cpu_microwatt/vhdl/tests/618.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,756 root INFO copying pythondata_cpu_microwatt/vhdl/tests/619.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,757 root INFO copying pythondata_cpu_microwatt/vhdl/tests/619.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,758 root INFO copying pythondata_cpu_microwatt/vhdl/tests/62.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,759 root INFO copying pythondata_cpu_microwatt/vhdl/tests/62.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,760 root INFO copying pythondata_cpu_microwatt/vhdl/tests/620.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,761 root INFO copying pythondata_cpu_microwatt/vhdl/tests/620.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,762 root INFO copying pythondata_cpu_microwatt/vhdl/tests/621.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,763 root INFO copying pythondata_cpu_microwatt/vhdl/tests/621.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,764 root INFO copying pythondata_cpu_microwatt/vhdl/tests/622.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,765 root INFO copying pythondata_cpu_microwatt/vhdl/tests/622.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,766 root INFO copying pythondata_cpu_microwatt/vhdl/tests/623.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,767 root INFO copying pythondata_cpu_microwatt/vhdl/tests/623.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,768 root INFO copying pythondata_cpu_microwatt/vhdl/tests/624.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,769 root INFO copying pythondata_cpu_microwatt/vhdl/tests/624.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,770 root INFO copying pythondata_cpu_microwatt/vhdl/tests/625.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,771 root INFO copying pythondata_cpu_microwatt/vhdl/tests/625.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,772 root INFO copying pythondata_cpu_microwatt/vhdl/tests/626.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,773 root INFO copying pythondata_cpu_microwatt/vhdl/tests/626.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,774 root INFO copying pythondata_cpu_microwatt/vhdl/tests/627.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,775 root INFO copying pythondata_cpu_microwatt/vhdl/tests/627.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,776 root INFO copying pythondata_cpu_microwatt/vhdl/tests/628.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,777 root INFO copying pythondata_cpu_microwatt/vhdl/tests/628.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,778 root INFO copying pythondata_cpu_microwatt/vhdl/tests/629.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,779 root INFO copying pythondata_cpu_microwatt/vhdl/tests/629.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,780 root INFO copying pythondata_cpu_microwatt/vhdl/tests/63.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,781 root INFO copying pythondata_cpu_microwatt/vhdl/tests/63.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,782 root INFO copying pythondata_cpu_microwatt/vhdl/tests/630.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,783 root INFO copying pythondata_cpu_microwatt/vhdl/tests/630.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,784 root INFO copying pythondata_cpu_microwatt/vhdl/tests/631.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,785 root INFO copying pythondata_cpu_microwatt/vhdl/tests/631.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,786 root INFO copying pythondata_cpu_microwatt/vhdl/tests/632.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,787 root INFO copying pythondata_cpu_microwatt/vhdl/tests/632.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,788 root INFO copying pythondata_cpu_microwatt/vhdl/tests/633.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,789 root INFO copying pythondata_cpu_microwatt/vhdl/tests/633.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,790 root INFO copying pythondata_cpu_microwatt/vhdl/tests/634.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,791 root INFO copying pythondata_cpu_microwatt/vhdl/tests/634.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,792 root INFO copying pythondata_cpu_microwatt/vhdl/tests/635.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,793 root INFO copying pythondata_cpu_microwatt/vhdl/tests/635.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,794 root INFO copying pythondata_cpu_microwatt/vhdl/tests/636.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,795 root INFO copying pythondata_cpu_microwatt/vhdl/tests/636.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,796 root INFO copying pythondata_cpu_microwatt/vhdl/tests/637.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,797 root INFO copying pythondata_cpu_microwatt/vhdl/tests/637.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,798 root INFO copying pythondata_cpu_microwatt/vhdl/tests/638.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,799 root INFO copying pythondata_cpu_microwatt/vhdl/tests/638.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,800 root INFO copying pythondata_cpu_microwatt/vhdl/tests/639.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,801 root INFO copying pythondata_cpu_microwatt/vhdl/tests/639.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,802 root INFO copying pythondata_cpu_microwatt/vhdl/tests/64.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,803 root INFO copying pythondata_cpu_microwatt/vhdl/tests/64.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,804 root INFO copying pythondata_cpu_microwatt/vhdl/tests/640.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,805 root INFO copying pythondata_cpu_microwatt/vhdl/tests/640.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,806 root INFO copying pythondata_cpu_microwatt/vhdl/tests/641.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,807 root INFO copying pythondata_cpu_microwatt/vhdl/tests/641.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,808 root INFO copying pythondata_cpu_microwatt/vhdl/tests/642.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,809 root INFO copying pythondata_cpu_microwatt/vhdl/tests/642.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,810 root INFO copying pythondata_cpu_microwatt/vhdl/tests/643.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,811 root INFO copying pythondata_cpu_microwatt/vhdl/tests/643.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,812 root INFO copying pythondata_cpu_microwatt/vhdl/tests/644.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,813 root INFO copying pythondata_cpu_microwatt/vhdl/tests/644.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,814 root INFO copying pythondata_cpu_microwatt/vhdl/tests/645.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,815 root INFO copying pythondata_cpu_microwatt/vhdl/tests/645.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,816 root INFO copying pythondata_cpu_microwatt/vhdl/tests/646.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,817 root INFO copying pythondata_cpu_microwatt/vhdl/tests/646.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,818 root INFO copying pythondata_cpu_microwatt/vhdl/tests/647.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,819 root INFO copying pythondata_cpu_microwatt/vhdl/tests/647.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,819 root INFO copying pythondata_cpu_microwatt/vhdl/tests/648.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,821 root INFO copying pythondata_cpu_microwatt/vhdl/tests/648.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,821 root INFO copying pythondata_cpu_microwatt/vhdl/tests/649.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,823 root INFO copying pythondata_cpu_microwatt/vhdl/tests/649.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,823 root INFO copying pythondata_cpu_microwatt/vhdl/tests/65.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,824 root INFO copying pythondata_cpu_microwatt/vhdl/tests/65.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,825 root INFO copying pythondata_cpu_microwatt/vhdl/tests/650.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,826 root INFO copying pythondata_cpu_microwatt/vhdl/tests/650.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,827 root INFO copying pythondata_cpu_microwatt/vhdl/tests/651.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,828 root INFO copying pythondata_cpu_microwatt/vhdl/tests/651.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,829 root INFO copying pythondata_cpu_microwatt/vhdl/tests/652.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,830 root INFO copying pythondata_cpu_microwatt/vhdl/tests/652.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,831 root INFO copying pythondata_cpu_microwatt/vhdl/tests/653.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,832 root INFO copying pythondata_cpu_microwatt/vhdl/tests/653.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,833 root INFO copying pythondata_cpu_microwatt/vhdl/tests/654.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,834 root INFO copying pythondata_cpu_microwatt/vhdl/tests/654.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,835 root INFO copying pythondata_cpu_microwatt/vhdl/tests/655.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,836 root INFO copying pythondata_cpu_microwatt/vhdl/tests/655.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,837 root INFO copying pythondata_cpu_microwatt/vhdl/tests/656.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,838 root INFO copying pythondata_cpu_microwatt/vhdl/tests/656.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,839 root INFO copying pythondata_cpu_microwatt/vhdl/tests/657.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,840 root INFO copying pythondata_cpu_microwatt/vhdl/tests/657.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,841 root INFO copying pythondata_cpu_microwatt/vhdl/tests/658.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,842 root INFO copying pythondata_cpu_microwatt/vhdl/tests/658.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,843 root INFO copying pythondata_cpu_microwatt/vhdl/tests/659.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,844 root INFO copying pythondata_cpu_microwatt/vhdl/tests/659.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,845 root INFO copying pythondata_cpu_microwatt/vhdl/tests/66.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,846 root INFO copying pythondata_cpu_microwatt/vhdl/tests/66.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,847 root INFO copying pythondata_cpu_microwatt/vhdl/tests/660.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,848 root INFO copying pythondata_cpu_microwatt/vhdl/tests/660.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,849 root INFO copying pythondata_cpu_microwatt/vhdl/tests/661.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,850 root INFO copying pythondata_cpu_microwatt/vhdl/tests/661.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,851 root INFO copying pythondata_cpu_microwatt/vhdl/tests/662.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,852 root INFO copying pythondata_cpu_microwatt/vhdl/tests/662.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,853 root INFO copying pythondata_cpu_microwatt/vhdl/tests/663.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,854 root INFO copying pythondata_cpu_microwatt/vhdl/tests/663.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,855 root INFO copying pythondata_cpu_microwatt/vhdl/tests/664.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,856 root INFO copying pythondata_cpu_microwatt/vhdl/tests/664.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,857 root INFO copying pythondata_cpu_microwatt/vhdl/tests/665.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,858 root INFO copying pythondata_cpu_microwatt/vhdl/tests/665.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,859 root INFO copying pythondata_cpu_microwatt/vhdl/tests/666.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,860 root INFO copying pythondata_cpu_microwatt/vhdl/tests/666.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,861 root INFO copying pythondata_cpu_microwatt/vhdl/tests/667.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,862 root INFO copying pythondata_cpu_microwatt/vhdl/tests/667.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,863 root INFO copying pythondata_cpu_microwatt/vhdl/tests/668.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,864 root INFO copying pythondata_cpu_microwatt/vhdl/tests/668.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,865 root INFO copying pythondata_cpu_microwatt/vhdl/tests/669.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,866 root INFO copying pythondata_cpu_microwatt/vhdl/tests/669.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,867 root INFO copying pythondata_cpu_microwatt/vhdl/tests/67.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,868 root INFO copying pythondata_cpu_microwatt/vhdl/tests/67.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,869 root INFO copying pythondata_cpu_microwatt/vhdl/tests/670.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,870 root INFO copying pythondata_cpu_microwatt/vhdl/tests/670.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,871 root INFO copying pythondata_cpu_microwatt/vhdl/tests/671.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,872 root INFO copying pythondata_cpu_microwatt/vhdl/tests/671.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,873 root INFO copying pythondata_cpu_microwatt/vhdl/tests/672.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,874 root INFO copying pythondata_cpu_microwatt/vhdl/tests/672.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,875 root INFO copying pythondata_cpu_microwatt/vhdl/tests/673.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,876 root INFO copying pythondata_cpu_microwatt/vhdl/tests/673.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,877 root INFO copying pythondata_cpu_microwatt/vhdl/tests/674.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,878 root INFO copying pythondata_cpu_microwatt/vhdl/tests/674.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,878 root INFO copying pythondata_cpu_microwatt/vhdl/tests/675.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,880 root INFO copying pythondata_cpu_microwatt/vhdl/tests/675.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,880 root INFO copying pythondata_cpu_microwatt/vhdl/tests/676.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,881 root INFO copying pythondata_cpu_microwatt/vhdl/tests/676.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,882 root INFO copying pythondata_cpu_microwatt/vhdl/tests/677.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,883 root INFO copying pythondata_cpu_microwatt/vhdl/tests/677.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,884 root INFO copying pythondata_cpu_microwatt/vhdl/tests/678.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,885 root INFO copying pythondata_cpu_microwatt/vhdl/tests/678.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,886 root INFO copying pythondata_cpu_microwatt/vhdl/tests/679.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,887 root INFO copying pythondata_cpu_microwatt/vhdl/tests/679.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,888 root INFO copying pythondata_cpu_microwatt/vhdl/tests/68.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,889 root INFO copying pythondata_cpu_microwatt/vhdl/tests/68.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,890 root INFO copying pythondata_cpu_microwatt/vhdl/tests/680.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,891 root INFO copying pythondata_cpu_microwatt/vhdl/tests/680.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,892 root INFO copying pythondata_cpu_microwatt/vhdl/tests/681.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,893 root INFO copying pythondata_cpu_microwatt/vhdl/tests/681.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,894 root INFO copying pythondata_cpu_microwatt/vhdl/tests/682.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,895 root INFO copying pythondata_cpu_microwatt/vhdl/tests/682.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,896 root INFO copying pythondata_cpu_microwatt/vhdl/tests/683.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,897 root INFO copying pythondata_cpu_microwatt/vhdl/tests/683.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,898 root INFO copying pythondata_cpu_microwatt/vhdl/tests/684.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,899 root INFO copying pythondata_cpu_microwatt/vhdl/tests/684.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,900 root INFO copying pythondata_cpu_microwatt/vhdl/tests/685.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,901 root INFO copying pythondata_cpu_microwatt/vhdl/tests/685.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,902 root INFO copying pythondata_cpu_microwatt/vhdl/tests/686.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,903 root INFO copying pythondata_cpu_microwatt/vhdl/tests/686.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,904 root INFO copying pythondata_cpu_microwatt/vhdl/tests/687.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,905 root INFO copying pythondata_cpu_microwatt/vhdl/tests/687.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,906 root INFO copying pythondata_cpu_microwatt/vhdl/tests/688.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,907 root INFO copying pythondata_cpu_microwatt/vhdl/tests/688.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,908 root INFO copying pythondata_cpu_microwatt/vhdl/tests/689.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,909 root INFO copying pythondata_cpu_microwatt/vhdl/tests/689.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,910 root INFO copying pythondata_cpu_microwatt/vhdl/tests/69.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,911 root INFO copying pythondata_cpu_microwatt/vhdl/tests/69.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,912 root INFO copying pythondata_cpu_microwatt/vhdl/tests/690.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,913 root INFO copying pythondata_cpu_microwatt/vhdl/tests/690.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,914 root INFO copying pythondata_cpu_microwatt/vhdl/tests/691.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,915 root INFO copying pythondata_cpu_microwatt/vhdl/tests/691.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,916 root INFO copying pythondata_cpu_microwatt/vhdl/tests/692.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,917 root INFO copying pythondata_cpu_microwatt/vhdl/tests/692.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,918 root INFO copying pythondata_cpu_microwatt/vhdl/tests/693.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,919 root INFO copying pythondata_cpu_microwatt/vhdl/tests/693.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,920 root INFO copying pythondata_cpu_microwatt/vhdl/tests/694.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,921 root INFO copying pythondata_cpu_microwatt/vhdl/tests/694.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,922 root INFO copying pythondata_cpu_microwatt/vhdl/tests/695.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,923 root INFO copying pythondata_cpu_microwatt/vhdl/tests/695.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,924 root INFO copying pythondata_cpu_microwatt/vhdl/tests/696.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,925 root INFO copying pythondata_cpu_microwatt/vhdl/tests/696.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,926 root INFO copying pythondata_cpu_microwatt/vhdl/tests/697.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,927 root INFO copying pythondata_cpu_microwatt/vhdl/tests/697.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,928 root INFO copying pythondata_cpu_microwatt/vhdl/tests/698.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,929 root INFO copying pythondata_cpu_microwatt/vhdl/tests/698.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,930 root INFO copying pythondata_cpu_microwatt/vhdl/tests/699.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,931 root INFO copying pythondata_cpu_microwatt/vhdl/tests/699.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,931 root INFO copying pythondata_cpu_microwatt/vhdl/tests/7.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,933 root INFO copying pythondata_cpu_microwatt/vhdl/tests/7.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,934 root INFO copying pythondata_cpu_microwatt/vhdl/tests/70.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,935 root INFO copying pythondata_cpu_microwatt/vhdl/tests/70.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,936 root INFO copying pythondata_cpu_microwatt/vhdl/tests/700.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,937 root INFO copying pythondata_cpu_microwatt/vhdl/tests/700.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,937 root INFO copying pythondata_cpu_microwatt/vhdl/tests/701.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,939 root INFO copying pythondata_cpu_microwatt/vhdl/tests/701.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,939 root INFO copying pythondata_cpu_microwatt/vhdl/tests/702.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,941 root INFO copying pythondata_cpu_microwatt/vhdl/tests/702.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,941 root INFO copying pythondata_cpu_microwatt/vhdl/tests/703.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,942 root INFO copying pythondata_cpu_microwatt/vhdl/tests/703.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,943 root INFO copying pythondata_cpu_microwatt/vhdl/tests/704.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,944 root INFO copying pythondata_cpu_microwatt/vhdl/tests/704.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,945 root INFO copying pythondata_cpu_microwatt/vhdl/tests/705.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,946 root INFO copying pythondata_cpu_microwatt/vhdl/tests/705.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,947 root INFO copying pythondata_cpu_microwatt/vhdl/tests/706.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,948 root INFO copying pythondata_cpu_microwatt/vhdl/tests/706.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,949 root INFO copying pythondata_cpu_microwatt/vhdl/tests/707.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,950 root INFO copying pythondata_cpu_microwatt/vhdl/tests/707.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,951 root INFO copying pythondata_cpu_microwatt/vhdl/tests/708.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,952 root INFO copying pythondata_cpu_microwatt/vhdl/tests/708.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,953 root INFO copying pythondata_cpu_microwatt/vhdl/tests/709.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,954 root INFO copying pythondata_cpu_microwatt/vhdl/tests/709.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,955 root INFO copying pythondata_cpu_microwatt/vhdl/tests/71.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,956 root INFO copying pythondata_cpu_microwatt/vhdl/tests/71.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,957 root INFO copying pythondata_cpu_microwatt/vhdl/tests/710.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,958 root INFO copying pythondata_cpu_microwatt/vhdl/tests/710.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,959 root INFO copying pythondata_cpu_microwatt/vhdl/tests/711.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,960 root INFO copying pythondata_cpu_microwatt/vhdl/tests/711.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,961 root INFO copying pythondata_cpu_microwatt/vhdl/tests/712.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,962 root INFO copying pythondata_cpu_microwatt/vhdl/tests/712.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,963 root INFO copying pythondata_cpu_microwatt/vhdl/tests/713.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,964 root INFO copying pythondata_cpu_microwatt/vhdl/tests/713.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,965 root INFO copying pythondata_cpu_microwatt/vhdl/tests/714.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,966 root INFO copying pythondata_cpu_microwatt/vhdl/tests/714.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,967 root INFO copying pythondata_cpu_microwatt/vhdl/tests/715.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,968 root INFO copying pythondata_cpu_microwatt/vhdl/tests/715.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,969 root INFO copying pythondata_cpu_microwatt/vhdl/tests/716.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,970 root INFO copying pythondata_cpu_microwatt/vhdl/tests/716.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,971 root INFO copying pythondata_cpu_microwatt/vhdl/tests/717.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,972 root INFO copying pythondata_cpu_microwatt/vhdl/tests/717.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,973 root INFO copying pythondata_cpu_microwatt/vhdl/tests/718.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,974 root INFO copying pythondata_cpu_microwatt/vhdl/tests/718.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,975 root INFO copying pythondata_cpu_microwatt/vhdl/tests/719.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,976 root INFO copying pythondata_cpu_microwatt/vhdl/tests/719.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,976 root INFO copying pythondata_cpu_microwatt/vhdl/tests/72.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,978 root INFO copying pythondata_cpu_microwatt/vhdl/tests/72.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,978 root INFO copying pythondata_cpu_microwatt/vhdl/tests/720.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,980 root INFO copying pythondata_cpu_microwatt/vhdl/tests/720.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,980 root INFO copying pythondata_cpu_microwatt/vhdl/tests/721.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,982 root INFO copying pythondata_cpu_microwatt/vhdl/tests/721.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,982 root INFO copying pythondata_cpu_microwatt/vhdl/tests/722.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,984 root INFO copying pythondata_cpu_microwatt/vhdl/tests/722.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,984 root INFO copying pythondata_cpu_microwatt/vhdl/tests/723.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,985 root INFO copying pythondata_cpu_microwatt/vhdl/tests/723.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,986 root INFO copying pythondata_cpu_microwatt/vhdl/tests/724.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,987 root INFO copying pythondata_cpu_microwatt/vhdl/tests/724.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,988 root INFO copying pythondata_cpu_microwatt/vhdl/tests/725.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,989 root INFO copying pythondata_cpu_microwatt/vhdl/tests/725.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,990 root INFO copying pythondata_cpu_microwatt/vhdl/tests/726.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,994 root INFO copying pythondata_cpu_microwatt/vhdl/tests/726.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,995 root INFO copying pythondata_cpu_microwatt/vhdl/tests/727.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,996 root INFO copying pythondata_cpu_microwatt/vhdl/tests/727.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,997 root INFO copying pythondata_cpu_microwatt/vhdl/tests/728.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,998 root INFO copying pythondata_cpu_microwatt/vhdl/tests/728.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:49,999 root INFO copying pythondata_cpu_microwatt/vhdl/tests/729.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,000 root INFO copying pythondata_cpu_microwatt/vhdl/tests/729.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,001 root INFO copying pythondata_cpu_microwatt/vhdl/tests/73.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,002 root INFO copying pythondata_cpu_microwatt/vhdl/tests/73.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,003 root INFO copying pythondata_cpu_microwatt/vhdl/tests/730.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,004 root INFO copying pythondata_cpu_microwatt/vhdl/tests/730.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,005 root INFO copying pythondata_cpu_microwatt/vhdl/tests/731.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,006 root INFO copying pythondata_cpu_microwatt/vhdl/tests/731.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,007 root INFO copying pythondata_cpu_microwatt/vhdl/tests/732.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,008 root INFO copying pythondata_cpu_microwatt/vhdl/tests/732.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,009 root INFO copying pythondata_cpu_microwatt/vhdl/tests/733.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,010 root INFO copying pythondata_cpu_microwatt/vhdl/tests/733.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,011 root INFO copying pythondata_cpu_microwatt/vhdl/tests/734.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,012 root INFO copying pythondata_cpu_microwatt/vhdl/tests/734.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,013 root INFO copying pythondata_cpu_microwatt/vhdl/tests/735.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,014 root INFO copying pythondata_cpu_microwatt/vhdl/tests/735.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,015 root INFO copying pythondata_cpu_microwatt/vhdl/tests/736.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,016 root INFO copying pythondata_cpu_microwatt/vhdl/tests/736.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,017 root INFO copying pythondata_cpu_microwatt/vhdl/tests/737.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,018 root INFO copying pythondata_cpu_microwatt/vhdl/tests/737.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,019 root INFO copying pythondata_cpu_microwatt/vhdl/tests/738.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,020 root INFO copying pythondata_cpu_microwatt/vhdl/tests/738.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,021 root INFO copying pythondata_cpu_microwatt/vhdl/tests/739.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,022 root INFO copying pythondata_cpu_microwatt/vhdl/tests/739.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,023 root INFO copying pythondata_cpu_microwatt/vhdl/tests/74.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,024 root INFO copying pythondata_cpu_microwatt/vhdl/tests/74.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,025 root INFO copying pythondata_cpu_microwatt/vhdl/tests/740.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,026 root INFO copying pythondata_cpu_microwatt/vhdl/tests/740.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,027 root INFO copying pythondata_cpu_microwatt/vhdl/tests/741.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,028 root INFO copying pythondata_cpu_microwatt/vhdl/tests/741.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,029 root INFO copying pythondata_cpu_microwatt/vhdl/tests/742.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,030 root INFO copying pythondata_cpu_microwatt/vhdl/tests/742.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,031 root INFO copying pythondata_cpu_microwatt/vhdl/tests/743.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,032 root INFO copying pythondata_cpu_microwatt/vhdl/tests/743.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,033 root INFO copying pythondata_cpu_microwatt/vhdl/tests/744.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,034 root INFO copying pythondata_cpu_microwatt/vhdl/tests/744.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,035 root INFO copying pythondata_cpu_microwatt/vhdl/tests/745.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,036 root INFO copying pythondata_cpu_microwatt/vhdl/tests/745.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,037 root INFO copying pythondata_cpu_microwatt/vhdl/tests/746.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,038 root INFO copying pythondata_cpu_microwatt/vhdl/tests/746.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,039 root INFO copying pythondata_cpu_microwatt/vhdl/tests/747.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,040 root INFO copying pythondata_cpu_microwatt/vhdl/tests/747.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,041 root INFO copying pythondata_cpu_microwatt/vhdl/tests/748.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,042 root INFO copying pythondata_cpu_microwatt/vhdl/tests/748.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,043 root INFO copying pythondata_cpu_microwatt/vhdl/tests/749.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,044 root INFO copying pythondata_cpu_microwatt/vhdl/tests/749.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,045 root INFO copying pythondata_cpu_microwatt/vhdl/tests/75.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,046 root INFO copying pythondata_cpu_microwatt/vhdl/tests/75.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,047 root INFO copying pythondata_cpu_microwatt/vhdl/tests/750.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,048 root INFO copying pythondata_cpu_microwatt/vhdl/tests/750.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,049 root INFO copying pythondata_cpu_microwatt/vhdl/tests/751.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,050 root INFO copying pythondata_cpu_microwatt/vhdl/tests/751.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,051 root INFO copying pythondata_cpu_microwatt/vhdl/tests/752.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,052 root INFO copying pythondata_cpu_microwatt/vhdl/tests/752.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,052 root INFO copying pythondata_cpu_microwatt/vhdl/tests/753.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,054 root INFO copying pythondata_cpu_microwatt/vhdl/tests/753.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,054 root INFO copying pythondata_cpu_microwatt/vhdl/tests/754.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,056 root INFO copying pythondata_cpu_microwatt/vhdl/tests/754.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,056 root INFO copying pythondata_cpu_microwatt/vhdl/tests/755.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,058 root INFO copying pythondata_cpu_microwatt/vhdl/tests/755.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,058 root INFO copying pythondata_cpu_microwatt/vhdl/tests/756.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,060 root INFO copying pythondata_cpu_microwatt/vhdl/tests/756.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,060 root INFO copying pythondata_cpu_microwatt/vhdl/tests/757.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,061 root INFO copying pythondata_cpu_microwatt/vhdl/tests/757.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,062 root INFO copying pythondata_cpu_microwatt/vhdl/tests/758.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,063 root INFO copying pythondata_cpu_microwatt/vhdl/tests/758.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,064 root INFO copying pythondata_cpu_microwatt/vhdl/tests/759.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,065 root INFO copying pythondata_cpu_microwatt/vhdl/tests/759.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,066 root INFO copying pythondata_cpu_microwatt/vhdl/tests/76.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,067 root INFO copying pythondata_cpu_microwatt/vhdl/tests/76.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,068 root INFO copying pythondata_cpu_microwatt/vhdl/tests/760.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,069 root INFO copying pythondata_cpu_microwatt/vhdl/tests/760.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,070 root INFO copying pythondata_cpu_microwatt/vhdl/tests/761.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,071 root INFO copying pythondata_cpu_microwatt/vhdl/tests/761.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,072 root INFO copying pythondata_cpu_microwatt/vhdl/tests/762.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,073 root INFO copying pythondata_cpu_microwatt/vhdl/tests/762.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,074 root INFO copying pythondata_cpu_microwatt/vhdl/tests/763.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,075 root INFO copying pythondata_cpu_microwatt/vhdl/tests/763.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,076 root INFO copying pythondata_cpu_microwatt/vhdl/tests/764.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,077 root INFO copying pythondata_cpu_microwatt/vhdl/tests/764.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,078 root INFO copying pythondata_cpu_microwatt/vhdl/tests/765.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,079 root INFO copying pythondata_cpu_microwatt/vhdl/tests/765.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,080 root INFO copying pythondata_cpu_microwatt/vhdl/tests/766.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,081 root INFO copying pythondata_cpu_microwatt/vhdl/tests/766.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,082 root INFO copying pythondata_cpu_microwatt/vhdl/tests/767.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,083 root INFO copying pythondata_cpu_microwatt/vhdl/tests/767.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,084 root INFO copying pythondata_cpu_microwatt/vhdl/tests/768.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,085 root INFO copying pythondata_cpu_microwatt/vhdl/tests/768.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,086 root INFO copying pythondata_cpu_microwatt/vhdl/tests/769.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,087 root INFO copying pythondata_cpu_microwatt/vhdl/tests/769.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,088 root INFO copying pythondata_cpu_microwatt/vhdl/tests/77.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,089 root INFO copying pythondata_cpu_microwatt/vhdl/tests/77.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,090 root INFO copying pythondata_cpu_microwatt/vhdl/tests/770.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,091 root INFO copying pythondata_cpu_microwatt/vhdl/tests/770.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,092 root INFO copying pythondata_cpu_microwatt/vhdl/tests/771.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,093 root INFO copying pythondata_cpu_microwatt/vhdl/tests/771.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,094 root INFO copying pythondata_cpu_microwatt/vhdl/tests/772.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,095 root INFO copying pythondata_cpu_microwatt/vhdl/tests/772.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,096 root INFO copying pythondata_cpu_microwatt/vhdl/tests/773.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,097 root INFO copying pythondata_cpu_microwatt/vhdl/tests/773.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,098 root INFO copying pythondata_cpu_microwatt/vhdl/tests/774.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,099 root INFO copying pythondata_cpu_microwatt/vhdl/tests/774.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,100 root INFO copying pythondata_cpu_microwatt/vhdl/tests/775.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,101 root INFO copying pythondata_cpu_microwatt/vhdl/tests/775.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,102 root INFO copying pythondata_cpu_microwatt/vhdl/tests/776.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,103 root INFO copying pythondata_cpu_microwatt/vhdl/tests/776.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,104 root INFO copying pythondata_cpu_microwatt/vhdl/tests/777.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,105 root INFO copying pythondata_cpu_microwatt/vhdl/tests/777.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,106 root INFO copying pythondata_cpu_microwatt/vhdl/tests/778.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,107 root INFO copying pythondata_cpu_microwatt/vhdl/tests/778.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,108 root INFO copying pythondata_cpu_microwatt/vhdl/tests/779.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,109 root INFO copying pythondata_cpu_microwatt/vhdl/tests/779.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,110 root INFO copying pythondata_cpu_microwatt/vhdl/tests/78.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,111 root INFO copying pythondata_cpu_microwatt/vhdl/tests/78.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,112 root INFO copying pythondata_cpu_microwatt/vhdl/tests/780.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,113 root INFO copying pythondata_cpu_microwatt/vhdl/tests/780.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,114 root INFO copying pythondata_cpu_microwatt/vhdl/tests/781.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,115 root INFO copying pythondata_cpu_microwatt/vhdl/tests/781.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,116 root INFO copying pythondata_cpu_microwatt/vhdl/tests/782.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,117 root INFO copying pythondata_cpu_microwatt/vhdl/tests/782.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,117 root INFO copying pythondata_cpu_microwatt/vhdl/tests/783.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,119 root INFO copying pythondata_cpu_microwatt/vhdl/tests/783.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,119 root INFO copying pythondata_cpu_microwatt/vhdl/tests/784.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,120 root INFO copying pythondata_cpu_microwatt/vhdl/tests/784.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,121 root INFO copying pythondata_cpu_microwatt/vhdl/tests/785.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,122 root INFO copying pythondata_cpu_microwatt/vhdl/tests/785.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,123 root INFO copying pythondata_cpu_microwatt/vhdl/tests/786.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,124 root INFO copying pythondata_cpu_microwatt/vhdl/tests/786.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,125 root INFO copying pythondata_cpu_microwatt/vhdl/tests/787.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,126 root INFO copying pythondata_cpu_microwatt/vhdl/tests/787.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,127 root INFO copying pythondata_cpu_microwatt/vhdl/tests/788.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,128 root INFO copying pythondata_cpu_microwatt/vhdl/tests/788.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,129 root INFO copying pythondata_cpu_microwatt/vhdl/tests/789.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,130 root INFO copying pythondata_cpu_microwatt/vhdl/tests/789.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,131 root INFO copying pythondata_cpu_microwatt/vhdl/tests/79.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,132 root INFO copying pythondata_cpu_microwatt/vhdl/tests/79.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,133 root INFO copying pythondata_cpu_microwatt/vhdl/tests/790.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,134 root INFO copying pythondata_cpu_microwatt/vhdl/tests/790.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,135 root INFO copying pythondata_cpu_microwatt/vhdl/tests/791.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,136 root INFO copying pythondata_cpu_microwatt/vhdl/tests/791.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,137 root INFO copying pythondata_cpu_microwatt/vhdl/tests/792.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,138 root INFO copying pythondata_cpu_microwatt/vhdl/tests/792.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,139 root INFO copying pythondata_cpu_microwatt/vhdl/tests/793.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,140 root INFO copying pythondata_cpu_microwatt/vhdl/tests/793.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,141 root INFO copying pythondata_cpu_microwatt/vhdl/tests/794.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,142 root INFO copying pythondata_cpu_microwatt/vhdl/tests/794.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,143 root INFO copying pythondata_cpu_microwatt/vhdl/tests/795.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,144 root INFO copying pythondata_cpu_microwatt/vhdl/tests/795.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,145 root INFO copying pythondata_cpu_microwatt/vhdl/tests/796.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,146 root INFO copying pythondata_cpu_microwatt/vhdl/tests/796.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,147 root INFO copying pythondata_cpu_microwatt/vhdl/tests/797.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,148 root INFO copying pythondata_cpu_microwatt/vhdl/tests/797.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,149 root INFO copying pythondata_cpu_microwatt/vhdl/tests/798.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,150 root INFO copying pythondata_cpu_microwatt/vhdl/tests/798.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,151 root INFO copying pythondata_cpu_microwatt/vhdl/tests/799.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,152 root INFO copying pythondata_cpu_microwatt/vhdl/tests/799.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,153 root INFO copying pythondata_cpu_microwatt/vhdl/tests/8.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,154 root INFO copying pythondata_cpu_microwatt/vhdl/tests/8.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,155 root INFO copying pythondata_cpu_microwatt/vhdl/tests/80.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,156 root INFO copying pythondata_cpu_microwatt/vhdl/tests/80.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,157 root INFO copying pythondata_cpu_microwatt/vhdl/tests/800.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,158 root INFO copying pythondata_cpu_microwatt/vhdl/tests/800.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,159 root INFO copying pythondata_cpu_microwatt/vhdl/tests/801.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,160 root INFO copying pythondata_cpu_microwatt/vhdl/tests/801.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,161 root INFO copying pythondata_cpu_microwatt/vhdl/tests/802.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,162 root INFO copying pythondata_cpu_microwatt/vhdl/tests/802.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,163 root INFO copying pythondata_cpu_microwatt/vhdl/tests/803.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,164 root INFO copying pythondata_cpu_microwatt/vhdl/tests/803.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,165 root INFO copying pythondata_cpu_microwatt/vhdl/tests/804.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,166 root INFO copying pythondata_cpu_microwatt/vhdl/tests/804.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,167 root INFO copying pythondata_cpu_microwatt/vhdl/tests/805.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,168 root INFO copying pythondata_cpu_microwatt/vhdl/tests/805.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,169 root INFO copying pythondata_cpu_microwatt/vhdl/tests/806.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,170 root INFO copying pythondata_cpu_microwatt/vhdl/tests/806.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,171 root INFO copying pythondata_cpu_microwatt/vhdl/tests/807.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,172 root INFO copying pythondata_cpu_microwatt/vhdl/tests/807.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,173 root INFO copying pythondata_cpu_microwatt/vhdl/tests/808.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,174 root INFO copying pythondata_cpu_microwatt/vhdl/tests/808.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,175 root INFO copying pythondata_cpu_microwatt/vhdl/tests/809.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,176 root INFO copying pythondata_cpu_microwatt/vhdl/tests/809.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,177 root INFO copying pythondata_cpu_microwatt/vhdl/tests/81.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,178 root INFO copying pythondata_cpu_microwatt/vhdl/tests/81.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,179 root INFO copying pythondata_cpu_microwatt/vhdl/tests/810.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,180 root INFO copying pythondata_cpu_microwatt/vhdl/tests/810.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,181 root INFO copying pythondata_cpu_microwatt/vhdl/tests/811.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,182 root INFO copying pythondata_cpu_microwatt/vhdl/tests/811.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,183 root INFO copying pythondata_cpu_microwatt/vhdl/tests/812.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,184 root INFO copying pythondata_cpu_microwatt/vhdl/tests/812.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,185 root INFO copying pythondata_cpu_microwatt/vhdl/tests/813.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,186 root INFO copying pythondata_cpu_microwatt/vhdl/tests/813.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,187 root INFO copying pythondata_cpu_microwatt/vhdl/tests/814.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,188 root INFO copying pythondata_cpu_microwatt/vhdl/tests/814.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,189 root INFO copying pythondata_cpu_microwatt/vhdl/tests/815.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,190 root INFO copying pythondata_cpu_microwatt/vhdl/tests/815.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,191 root INFO copying pythondata_cpu_microwatt/vhdl/tests/816.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,192 root INFO copying pythondata_cpu_microwatt/vhdl/tests/816.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,193 root INFO copying pythondata_cpu_microwatt/vhdl/tests/817.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,194 root INFO copying pythondata_cpu_microwatt/vhdl/tests/817.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,194 root INFO copying pythondata_cpu_microwatt/vhdl/tests/818.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,196 root INFO copying pythondata_cpu_microwatt/vhdl/tests/818.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,197 root INFO copying pythondata_cpu_microwatt/vhdl/tests/819.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,198 root INFO copying pythondata_cpu_microwatt/vhdl/tests/819.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,199 root INFO copying pythondata_cpu_microwatt/vhdl/tests/82.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,200 root INFO copying pythondata_cpu_microwatt/vhdl/tests/82.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,200 root INFO copying pythondata_cpu_microwatt/vhdl/tests/820.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,202 root INFO copying pythondata_cpu_microwatt/vhdl/tests/820.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,202 root INFO copying pythondata_cpu_microwatt/vhdl/tests/821.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,204 root INFO copying pythondata_cpu_microwatt/vhdl/tests/821.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,204 root INFO copying pythondata_cpu_microwatt/vhdl/tests/822.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,205 root INFO copying pythondata_cpu_microwatt/vhdl/tests/822.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,206 root INFO copying pythondata_cpu_microwatt/vhdl/tests/823.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,207 root INFO copying pythondata_cpu_microwatt/vhdl/tests/823.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,208 root INFO copying pythondata_cpu_microwatt/vhdl/tests/824.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,209 root INFO copying pythondata_cpu_microwatt/vhdl/tests/824.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,210 root INFO copying pythondata_cpu_microwatt/vhdl/tests/825.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,211 root INFO copying pythondata_cpu_microwatt/vhdl/tests/825.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,212 root INFO copying pythondata_cpu_microwatt/vhdl/tests/826.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,213 root INFO copying pythondata_cpu_microwatt/vhdl/tests/826.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,214 root INFO copying pythondata_cpu_microwatt/vhdl/tests/827.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,215 root INFO copying pythondata_cpu_microwatt/vhdl/tests/827.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,216 root INFO copying pythondata_cpu_microwatt/vhdl/tests/828.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,217 root INFO copying pythondata_cpu_microwatt/vhdl/tests/828.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,218 root INFO copying pythondata_cpu_microwatt/vhdl/tests/829.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,219 root INFO copying pythondata_cpu_microwatt/vhdl/tests/829.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,220 root INFO copying pythondata_cpu_microwatt/vhdl/tests/83.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,221 root INFO copying pythondata_cpu_microwatt/vhdl/tests/83.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,222 root INFO copying pythondata_cpu_microwatt/vhdl/tests/830.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,223 root INFO copying pythondata_cpu_microwatt/vhdl/tests/830.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,224 root INFO copying pythondata_cpu_microwatt/vhdl/tests/831.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,225 root INFO copying pythondata_cpu_microwatt/vhdl/tests/831.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,226 root INFO copying pythondata_cpu_microwatt/vhdl/tests/832.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,227 root INFO copying pythondata_cpu_microwatt/vhdl/tests/832.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,228 root INFO copying pythondata_cpu_microwatt/vhdl/tests/833.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,229 root INFO copying pythondata_cpu_microwatt/vhdl/tests/833.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,230 root INFO copying pythondata_cpu_microwatt/vhdl/tests/834.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,231 root INFO copying pythondata_cpu_microwatt/vhdl/tests/834.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,232 root INFO copying pythondata_cpu_microwatt/vhdl/tests/835.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,233 root INFO copying pythondata_cpu_microwatt/vhdl/tests/835.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,234 root INFO copying pythondata_cpu_microwatt/vhdl/tests/836.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,235 root INFO copying pythondata_cpu_microwatt/vhdl/tests/836.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,236 root INFO copying pythondata_cpu_microwatt/vhdl/tests/837.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,237 root INFO copying pythondata_cpu_microwatt/vhdl/tests/837.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,238 root INFO copying pythondata_cpu_microwatt/vhdl/tests/838.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,239 root INFO copying pythondata_cpu_microwatt/vhdl/tests/838.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,240 root INFO copying pythondata_cpu_microwatt/vhdl/tests/839.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,241 root INFO copying pythondata_cpu_microwatt/vhdl/tests/839.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,242 root INFO copying pythondata_cpu_microwatt/vhdl/tests/84.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,243 root INFO copying pythondata_cpu_microwatt/vhdl/tests/84.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,244 root INFO copying pythondata_cpu_microwatt/vhdl/tests/840.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,245 root INFO copying pythondata_cpu_microwatt/vhdl/tests/840.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,246 root INFO copying pythondata_cpu_microwatt/vhdl/tests/841.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,247 root INFO copying pythondata_cpu_microwatt/vhdl/tests/841.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,248 root INFO copying pythondata_cpu_microwatt/vhdl/tests/842.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,249 root INFO copying pythondata_cpu_microwatt/vhdl/tests/842.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,250 root INFO copying pythondata_cpu_microwatt/vhdl/tests/843.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,251 root INFO copying pythondata_cpu_microwatt/vhdl/tests/843.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,252 root INFO copying pythondata_cpu_microwatt/vhdl/tests/844.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,253 root INFO copying pythondata_cpu_microwatt/vhdl/tests/844.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,254 root INFO copying pythondata_cpu_microwatt/vhdl/tests/845.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,255 root INFO copying pythondata_cpu_microwatt/vhdl/tests/845.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,256 root INFO copying pythondata_cpu_microwatt/vhdl/tests/846.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,257 root INFO copying pythondata_cpu_microwatt/vhdl/tests/846.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,258 root INFO copying pythondata_cpu_microwatt/vhdl/tests/847.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,259 root INFO copying pythondata_cpu_microwatt/vhdl/tests/847.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,260 root INFO copying pythondata_cpu_microwatt/vhdl/tests/848.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,261 root INFO copying pythondata_cpu_microwatt/vhdl/tests/848.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,262 root INFO copying pythondata_cpu_microwatt/vhdl/tests/849.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,263 root INFO copying pythondata_cpu_microwatt/vhdl/tests/849.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,264 root INFO copying pythondata_cpu_microwatt/vhdl/tests/85.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,265 root INFO copying pythondata_cpu_microwatt/vhdl/tests/85.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,266 root INFO copying pythondata_cpu_microwatt/vhdl/tests/850.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,267 root INFO copying pythondata_cpu_microwatt/vhdl/tests/850.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,268 root INFO copying pythondata_cpu_microwatt/vhdl/tests/851.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,269 root INFO copying pythondata_cpu_microwatt/vhdl/tests/851.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,270 root INFO copying pythondata_cpu_microwatt/vhdl/tests/852.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,271 root INFO copying pythondata_cpu_microwatt/vhdl/tests/852.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,272 root INFO copying pythondata_cpu_microwatt/vhdl/tests/853.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,273 root INFO copying pythondata_cpu_microwatt/vhdl/tests/853.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,274 root INFO copying pythondata_cpu_microwatt/vhdl/tests/854.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,275 root INFO copying pythondata_cpu_microwatt/vhdl/tests/854.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,275 root INFO copying pythondata_cpu_microwatt/vhdl/tests/855.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,277 root INFO copying pythondata_cpu_microwatt/vhdl/tests/855.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,277 root INFO copying pythondata_cpu_microwatt/vhdl/tests/856.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,279 root INFO copying pythondata_cpu_microwatt/vhdl/tests/856.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,279 root INFO copying pythondata_cpu_microwatt/vhdl/tests/857.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,281 root INFO copying pythondata_cpu_microwatt/vhdl/tests/857.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,281 root INFO copying pythondata_cpu_microwatt/vhdl/tests/858.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,282 root INFO copying pythondata_cpu_microwatt/vhdl/tests/858.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,283 root INFO copying pythondata_cpu_microwatt/vhdl/tests/859.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,284 root INFO copying pythondata_cpu_microwatt/vhdl/tests/859.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,285 root INFO copying pythondata_cpu_microwatt/vhdl/tests/86.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,286 root INFO copying pythondata_cpu_microwatt/vhdl/tests/86.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,287 root INFO copying pythondata_cpu_microwatt/vhdl/tests/860.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,288 root INFO copying pythondata_cpu_microwatt/vhdl/tests/860.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,289 root INFO copying pythondata_cpu_microwatt/vhdl/tests/861.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,290 root INFO copying pythondata_cpu_microwatt/vhdl/tests/861.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,291 root INFO copying pythondata_cpu_microwatt/vhdl/tests/862.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,292 root INFO copying pythondata_cpu_microwatt/vhdl/tests/862.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,293 root INFO copying pythondata_cpu_microwatt/vhdl/tests/863.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,294 root INFO copying pythondata_cpu_microwatt/vhdl/tests/863.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,295 root INFO copying pythondata_cpu_microwatt/vhdl/tests/864.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,296 root INFO copying pythondata_cpu_microwatt/vhdl/tests/864.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,297 root INFO copying pythondata_cpu_microwatt/vhdl/tests/865.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,298 root INFO copying pythondata_cpu_microwatt/vhdl/tests/865.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,299 root INFO copying pythondata_cpu_microwatt/vhdl/tests/866.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,300 root INFO copying pythondata_cpu_microwatt/vhdl/tests/866.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,301 root INFO copying pythondata_cpu_microwatt/vhdl/tests/867.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,302 root INFO copying pythondata_cpu_microwatt/vhdl/tests/867.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,303 root INFO copying pythondata_cpu_microwatt/vhdl/tests/868.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,304 root INFO copying pythondata_cpu_microwatt/vhdl/tests/868.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,305 root INFO copying pythondata_cpu_microwatt/vhdl/tests/869.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,306 root INFO copying pythondata_cpu_microwatt/vhdl/tests/869.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,307 root INFO copying pythondata_cpu_microwatt/vhdl/tests/87.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,308 root INFO copying pythondata_cpu_microwatt/vhdl/tests/87.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,309 root INFO copying pythondata_cpu_microwatt/vhdl/tests/870.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,310 root INFO copying pythondata_cpu_microwatt/vhdl/tests/870.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,311 root INFO copying pythondata_cpu_microwatt/vhdl/tests/871.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,312 root INFO copying pythondata_cpu_microwatt/vhdl/tests/871.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,313 root INFO copying pythondata_cpu_microwatt/vhdl/tests/872.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,314 root INFO copying pythondata_cpu_microwatt/vhdl/tests/872.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,315 root INFO copying pythondata_cpu_microwatt/vhdl/tests/873.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,316 root INFO copying pythondata_cpu_microwatt/vhdl/tests/873.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,317 root INFO copying pythondata_cpu_microwatt/vhdl/tests/874.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,318 root INFO copying pythondata_cpu_microwatt/vhdl/tests/874.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,319 root INFO copying pythondata_cpu_microwatt/vhdl/tests/875.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,320 root INFO copying pythondata_cpu_microwatt/vhdl/tests/875.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,321 root INFO copying pythondata_cpu_microwatt/vhdl/tests/876.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,322 root INFO copying pythondata_cpu_microwatt/vhdl/tests/876.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,323 root INFO copying pythondata_cpu_microwatt/vhdl/tests/877.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,324 root INFO copying pythondata_cpu_microwatt/vhdl/tests/877.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,325 root INFO copying pythondata_cpu_microwatt/vhdl/tests/878.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,326 root INFO copying pythondata_cpu_microwatt/vhdl/tests/878.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,327 root INFO copying pythondata_cpu_microwatt/vhdl/tests/879.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,328 root INFO copying pythondata_cpu_microwatt/vhdl/tests/879.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,329 root INFO copying pythondata_cpu_microwatt/vhdl/tests/88.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,330 root INFO copying pythondata_cpu_microwatt/vhdl/tests/88.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,330 root INFO copying pythondata_cpu_microwatt/vhdl/tests/880.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,332 root INFO copying pythondata_cpu_microwatt/vhdl/tests/880.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,332 root INFO copying pythondata_cpu_microwatt/vhdl/tests/881.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,334 root INFO copying pythondata_cpu_microwatt/vhdl/tests/881.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,334 root INFO copying pythondata_cpu_microwatt/vhdl/tests/882.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,336 root INFO copying pythondata_cpu_microwatt/vhdl/tests/882.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,336 root INFO copying pythondata_cpu_microwatt/vhdl/tests/883.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,337 root INFO copying pythondata_cpu_microwatt/vhdl/tests/883.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,338 root INFO copying pythondata_cpu_microwatt/vhdl/tests/884.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,340 root INFO copying pythondata_cpu_microwatt/vhdl/tests/884.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,340 root INFO copying pythondata_cpu_microwatt/vhdl/tests/885.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,341 root INFO copying pythondata_cpu_microwatt/vhdl/tests/885.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,342 root INFO copying pythondata_cpu_microwatt/vhdl/tests/886.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,343 root INFO copying pythondata_cpu_microwatt/vhdl/tests/886.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,344 root INFO copying pythondata_cpu_microwatt/vhdl/tests/887.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,345 root INFO copying pythondata_cpu_microwatt/vhdl/tests/887.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,346 root INFO copying pythondata_cpu_microwatt/vhdl/tests/888.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,347 root INFO copying pythondata_cpu_microwatt/vhdl/tests/888.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,348 root INFO copying pythondata_cpu_microwatt/vhdl/tests/889.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,349 root INFO copying pythondata_cpu_microwatt/vhdl/tests/889.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,350 root INFO copying pythondata_cpu_microwatt/vhdl/tests/89.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,351 root INFO copying pythondata_cpu_microwatt/vhdl/tests/89.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,352 root INFO copying pythondata_cpu_microwatt/vhdl/tests/890.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,353 root INFO copying pythondata_cpu_microwatt/vhdl/tests/890.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,354 root INFO copying pythondata_cpu_microwatt/vhdl/tests/891.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,355 root INFO copying pythondata_cpu_microwatt/vhdl/tests/891.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,356 root INFO copying pythondata_cpu_microwatt/vhdl/tests/892.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,357 root INFO copying pythondata_cpu_microwatt/vhdl/tests/892.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,358 root INFO copying pythondata_cpu_microwatt/vhdl/tests/893.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,359 root INFO copying pythondata_cpu_microwatt/vhdl/tests/893.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,360 root INFO copying pythondata_cpu_microwatt/vhdl/tests/894.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,361 root INFO copying pythondata_cpu_microwatt/vhdl/tests/894.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,362 root INFO copying pythondata_cpu_microwatt/vhdl/tests/895.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,363 root INFO copying pythondata_cpu_microwatt/vhdl/tests/895.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,364 root INFO copying pythondata_cpu_microwatt/vhdl/tests/896.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,365 root INFO copying pythondata_cpu_microwatt/vhdl/tests/896.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,366 root INFO copying pythondata_cpu_microwatt/vhdl/tests/897.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,367 root INFO copying pythondata_cpu_microwatt/vhdl/tests/897.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,368 root INFO copying pythondata_cpu_microwatt/vhdl/tests/898.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,369 root INFO copying pythondata_cpu_microwatt/vhdl/tests/898.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,370 root INFO copying pythondata_cpu_microwatt/vhdl/tests/899.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,371 root INFO copying pythondata_cpu_microwatt/vhdl/tests/899.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,372 root INFO copying pythondata_cpu_microwatt/vhdl/tests/9.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,373 root INFO copying pythondata_cpu_microwatt/vhdl/tests/9.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,374 root INFO copying pythondata_cpu_microwatt/vhdl/tests/90.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,375 root INFO copying pythondata_cpu_microwatt/vhdl/tests/90.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,376 root INFO copying pythondata_cpu_microwatt/vhdl/tests/900.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,377 root INFO copying pythondata_cpu_microwatt/vhdl/tests/900.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,378 root INFO copying pythondata_cpu_microwatt/vhdl/tests/901.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,379 root INFO copying pythondata_cpu_microwatt/vhdl/tests/901.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,380 root INFO copying pythondata_cpu_microwatt/vhdl/tests/902.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,381 root INFO copying pythondata_cpu_microwatt/vhdl/tests/902.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,382 root INFO copying pythondata_cpu_microwatt/vhdl/tests/903.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,383 root INFO copying pythondata_cpu_microwatt/vhdl/tests/903.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,384 root INFO copying pythondata_cpu_microwatt/vhdl/tests/904.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,385 root INFO copying pythondata_cpu_microwatt/vhdl/tests/904.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,386 root INFO copying pythondata_cpu_microwatt/vhdl/tests/905.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,387 root INFO copying pythondata_cpu_microwatt/vhdl/tests/905.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,388 root INFO copying pythondata_cpu_microwatt/vhdl/tests/906.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,389 root INFO copying pythondata_cpu_microwatt/vhdl/tests/906.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,390 root INFO copying pythondata_cpu_microwatt/vhdl/tests/907.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,391 root INFO copying pythondata_cpu_microwatt/vhdl/tests/907.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,392 root INFO copying pythondata_cpu_microwatt/vhdl/tests/908.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,393 root INFO copying pythondata_cpu_microwatt/vhdl/tests/908.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,393 root INFO copying pythondata_cpu_microwatt/vhdl/tests/909.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,395 root INFO copying pythondata_cpu_microwatt/vhdl/tests/909.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,395 root INFO copying pythondata_cpu_microwatt/vhdl/tests/91.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,397 root INFO copying pythondata_cpu_microwatt/vhdl/tests/91.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,398 root INFO copying pythondata_cpu_microwatt/vhdl/tests/910.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,399 root INFO copying pythondata_cpu_microwatt/vhdl/tests/910.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,400 root INFO copying pythondata_cpu_microwatt/vhdl/tests/911.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,401 root INFO copying pythondata_cpu_microwatt/vhdl/tests/911.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,402 root INFO copying pythondata_cpu_microwatt/vhdl/tests/912.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,403 root INFO copying pythondata_cpu_microwatt/vhdl/tests/912.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,404 root INFO copying pythondata_cpu_microwatt/vhdl/tests/913.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,405 root INFO copying pythondata_cpu_microwatt/vhdl/tests/913.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,406 root INFO copying pythondata_cpu_microwatt/vhdl/tests/914.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,407 root INFO copying pythondata_cpu_microwatt/vhdl/tests/914.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,408 root INFO copying pythondata_cpu_microwatt/vhdl/tests/915.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,409 root INFO copying pythondata_cpu_microwatt/vhdl/tests/915.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,410 root INFO copying pythondata_cpu_microwatt/vhdl/tests/916.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,411 root INFO copying pythondata_cpu_microwatt/vhdl/tests/916.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,412 root INFO copying pythondata_cpu_microwatt/vhdl/tests/917.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,413 root INFO copying pythondata_cpu_microwatt/vhdl/tests/917.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,413 root INFO copying pythondata_cpu_microwatt/vhdl/tests/918.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,415 root INFO copying pythondata_cpu_microwatt/vhdl/tests/918.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,415 root INFO copying pythondata_cpu_microwatt/vhdl/tests/919.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,417 root INFO copying pythondata_cpu_microwatt/vhdl/tests/919.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,417 root INFO copying pythondata_cpu_microwatt/vhdl/tests/92.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,418 root INFO copying pythondata_cpu_microwatt/vhdl/tests/92.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,419 root INFO copying pythondata_cpu_microwatt/vhdl/tests/920.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,420 root INFO copying pythondata_cpu_microwatt/vhdl/tests/920.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,421 root INFO copying pythondata_cpu_microwatt/vhdl/tests/921.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,422 root INFO copying pythondata_cpu_microwatt/vhdl/tests/921.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,423 root INFO copying pythondata_cpu_microwatt/vhdl/tests/922.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,424 root INFO copying pythondata_cpu_microwatt/vhdl/tests/922.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,425 root INFO copying pythondata_cpu_microwatt/vhdl/tests/923.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,426 root INFO copying pythondata_cpu_microwatt/vhdl/tests/923.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,427 root INFO copying pythondata_cpu_microwatt/vhdl/tests/924.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,428 root INFO copying pythondata_cpu_microwatt/vhdl/tests/924.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,429 root INFO copying pythondata_cpu_microwatt/vhdl/tests/925.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,430 root INFO copying pythondata_cpu_microwatt/vhdl/tests/925.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,431 root INFO copying pythondata_cpu_microwatt/vhdl/tests/926.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,432 root INFO copying pythondata_cpu_microwatt/vhdl/tests/926.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,433 root INFO copying pythondata_cpu_microwatt/vhdl/tests/927.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,434 root INFO copying pythondata_cpu_microwatt/vhdl/tests/927.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,435 root INFO copying pythondata_cpu_microwatt/vhdl/tests/928.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,436 root INFO copying pythondata_cpu_microwatt/vhdl/tests/928.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,437 root INFO copying pythondata_cpu_microwatt/vhdl/tests/929.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,438 root INFO copying pythondata_cpu_microwatt/vhdl/tests/929.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,439 root INFO copying pythondata_cpu_microwatt/vhdl/tests/93.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,440 root INFO copying pythondata_cpu_microwatt/vhdl/tests/93.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,441 root INFO copying pythondata_cpu_microwatt/vhdl/tests/930.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,442 root INFO copying pythondata_cpu_microwatt/vhdl/tests/930.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,443 root INFO copying pythondata_cpu_microwatt/vhdl/tests/931.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,444 root INFO copying pythondata_cpu_microwatt/vhdl/tests/931.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,445 root INFO copying pythondata_cpu_microwatt/vhdl/tests/932.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,446 root INFO copying pythondata_cpu_microwatt/vhdl/tests/932.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,447 root INFO copying pythondata_cpu_microwatt/vhdl/tests/933.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,448 root INFO copying pythondata_cpu_microwatt/vhdl/tests/933.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,449 root INFO copying pythondata_cpu_microwatt/vhdl/tests/934.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,450 root INFO copying pythondata_cpu_microwatt/vhdl/tests/934.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,451 root INFO copying pythondata_cpu_microwatt/vhdl/tests/935.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,452 root INFO copying pythondata_cpu_microwatt/vhdl/tests/935.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,453 root INFO copying pythondata_cpu_microwatt/vhdl/tests/936.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,454 root INFO copying pythondata_cpu_microwatt/vhdl/tests/936.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,455 root INFO copying pythondata_cpu_microwatt/vhdl/tests/937.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,456 root INFO copying pythondata_cpu_microwatt/vhdl/tests/937.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,457 root INFO copying pythondata_cpu_microwatt/vhdl/tests/938.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,458 root INFO copying pythondata_cpu_microwatt/vhdl/tests/938.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,459 root INFO copying pythondata_cpu_microwatt/vhdl/tests/939.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,460 root INFO copying pythondata_cpu_microwatt/vhdl/tests/939.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,461 root INFO copying pythondata_cpu_microwatt/vhdl/tests/94.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,462 root INFO copying pythondata_cpu_microwatt/vhdl/tests/94.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,463 root INFO copying pythondata_cpu_microwatt/vhdl/tests/940.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,464 root INFO copying pythondata_cpu_microwatt/vhdl/tests/940.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,465 root INFO copying pythondata_cpu_microwatt/vhdl/tests/941.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,466 root INFO copying pythondata_cpu_microwatt/vhdl/tests/941.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,467 root INFO copying pythondata_cpu_microwatt/vhdl/tests/942.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,468 root INFO copying pythondata_cpu_microwatt/vhdl/tests/942.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,469 root INFO copying pythondata_cpu_microwatt/vhdl/tests/943.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,470 root INFO copying pythondata_cpu_microwatt/vhdl/tests/943.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,471 root INFO copying pythondata_cpu_microwatt/vhdl/tests/944.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,472 root INFO copying pythondata_cpu_microwatt/vhdl/tests/944.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,473 root INFO copying pythondata_cpu_microwatt/vhdl/tests/945.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,474 root INFO copying pythondata_cpu_microwatt/vhdl/tests/945.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,475 root INFO copying pythondata_cpu_microwatt/vhdl/tests/946.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,476 root INFO copying pythondata_cpu_microwatt/vhdl/tests/946.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,476 root INFO copying pythondata_cpu_microwatt/vhdl/tests/947.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,478 root INFO copying pythondata_cpu_microwatt/vhdl/tests/947.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,478 root INFO copying pythondata_cpu_microwatt/vhdl/tests/948.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,480 root INFO copying pythondata_cpu_microwatt/vhdl/tests/948.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,481 root INFO copying pythondata_cpu_microwatt/vhdl/tests/949.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,482 root INFO copying pythondata_cpu_microwatt/vhdl/tests/949.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,483 root INFO copying pythondata_cpu_microwatt/vhdl/tests/95.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,484 root INFO copying pythondata_cpu_microwatt/vhdl/tests/95.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,484 root INFO copying pythondata_cpu_microwatt/vhdl/tests/950.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,485 root INFO copying pythondata_cpu_microwatt/vhdl/tests/950.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,486 root INFO copying pythondata_cpu_microwatt/vhdl/tests/951.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,487 root INFO copying pythondata_cpu_microwatt/vhdl/tests/951.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,488 root INFO copying pythondata_cpu_microwatt/vhdl/tests/952.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,489 root INFO copying pythondata_cpu_microwatt/vhdl/tests/952.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,490 root INFO copying pythondata_cpu_microwatt/vhdl/tests/953.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,491 root INFO copying pythondata_cpu_microwatt/vhdl/tests/953.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,492 root INFO copying pythondata_cpu_microwatt/vhdl/tests/954.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,493 root INFO copying pythondata_cpu_microwatt/vhdl/tests/954.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,494 root INFO copying pythondata_cpu_microwatt/vhdl/tests/955.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,495 root INFO copying pythondata_cpu_microwatt/vhdl/tests/955.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,496 root INFO copying pythondata_cpu_microwatt/vhdl/tests/956.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,497 root INFO copying pythondata_cpu_microwatt/vhdl/tests/956.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,498 root INFO copying pythondata_cpu_microwatt/vhdl/tests/957.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,499 root INFO copying pythondata_cpu_microwatt/vhdl/tests/957.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,500 root INFO copying pythondata_cpu_microwatt/vhdl/tests/958.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,501 root INFO copying pythondata_cpu_microwatt/vhdl/tests/958.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,502 root INFO copying pythondata_cpu_microwatt/vhdl/tests/959.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,503 root INFO copying pythondata_cpu_microwatt/vhdl/tests/959.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,504 root INFO copying pythondata_cpu_microwatt/vhdl/tests/96.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,505 root INFO copying pythondata_cpu_microwatt/vhdl/tests/96.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,506 root INFO copying pythondata_cpu_microwatt/vhdl/tests/960.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,507 root INFO copying pythondata_cpu_microwatt/vhdl/tests/960.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,508 root INFO copying pythondata_cpu_microwatt/vhdl/tests/961.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,509 root INFO copying pythondata_cpu_microwatt/vhdl/tests/961.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,510 root INFO copying pythondata_cpu_microwatt/vhdl/tests/962.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,511 root INFO copying pythondata_cpu_microwatt/vhdl/tests/962.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,512 root INFO copying pythondata_cpu_microwatt/vhdl/tests/963.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,513 root INFO copying pythondata_cpu_microwatt/vhdl/tests/963.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,514 root INFO copying pythondata_cpu_microwatt/vhdl/tests/964.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,515 root INFO copying pythondata_cpu_microwatt/vhdl/tests/964.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,516 root INFO copying pythondata_cpu_microwatt/vhdl/tests/965.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,517 root INFO copying pythondata_cpu_microwatt/vhdl/tests/965.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,518 root INFO copying pythondata_cpu_microwatt/vhdl/tests/966.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,519 root INFO copying pythondata_cpu_microwatt/vhdl/tests/966.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,520 root INFO copying pythondata_cpu_microwatt/vhdl/tests/967.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,521 root INFO copying pythondata_cpu_microwatt/vhdl/tests/967.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,522 root INFO copying pythondata_cpu_microwatt/vhdl/tests/968.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,523 root INFO copying pythondata_cpu_microwatt/vhdl/tests/968.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,524 root INFO copying pythondata_cpu_microwatt/vhdl/tests/969.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,525 root INFO copying pythondata_cpu_microwatt/vhdl/tests/969.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,526 root INFO copying pythondata_cpu_microwatt/vhdl/tests/97.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,527 root INFO copying pythondata_cpu_microwatt/vhdl/tests/97.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,528 root INFO copying pythondata_cpu_microwatt/vhdl/tests/970.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,529 root INFO copying pythondata_cpu_microwatt/vhdl/tests/970.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,530 root INFO copying pythondata_cpu_microwatt/vhdl/tests/971.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,531 root INFO copying pythondata_cpu_microwatt/vhdl/tests/971.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,532 root INFO copying pythondata_cpu_microwatt/vhdl/tests/972.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,533 root INFO copying pythondata_cpu_microwatt/vhdl/tests/972.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,534 root INFO copying pythondata_cpu_microwatt/vhdl/tests/973.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,535 root INFO copying pythondata_cpu_microwatt/vhdl/tests/973.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,536 root INFO copying pythondata_cpu_microwatt/vhdl/tests/974.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,537 root INFO copying pythondata_cpu_microwatt/vhdl/tests/974.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,538 root INFO copying pythondata_cpu_microwatt/vhdl/tests/975.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,539 root INFO copying pythondata_cpu_microwatt/vhdl/tests/975.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,540 root INFO copying pythondata_cpu_microwatt/vhdl/tests/976.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,541 root INFO copying pythondata_cpu_microwatt/vhdl/tests/976.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,542 root INFO copying pythondata_cpu_microwatt/vhdl/tests/977.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,543 root INFO copying pythondata_cpu_microwatt/vhdl/tests/977.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,544 root INFO copying pythondata_cpu_microwatt/vhdl/tests/978.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,545 root INFO copying pythondata_cpu_microwatt/vhdl/tests/978.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,546 root INFO copying pythondata_cpu_microwatt/vhdl/tests/979.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,547 root INFO copying pythondata_cpu_microwatt/vhdl/tests/979.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,547 root INFO copying pythondata_cpu_microwatt/vhdl/tests/98.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,549 root INFO copying pythondata_cpu_microwatt/vhdl/tests/98.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,549 root INFO copying pythondata_cpu_microwatt/vhdl/tests/980.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,551 root INFO copying pythondata_cpu_microwatt/vhdl/tests/980.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,551 root INFO copying pythondata_cpu_microwatt/vhdl/tests/981.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,553 root INFO copying pythondata_cpu_microwatt/vhdl/tests/981.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,553 root INFO copying pythondata_cpu_microwatt/vhdl/tests/982.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,555 root INFO copying pythondata_cpu_microwatt/vhdl/tests/982.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,555 root INFO copying pythondata_cpu_microwatt/vhdl/tests/983.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,556 root INFO copying pythondata_cpu_microwatt/vhdl/tests/983.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,557 root INFO copying pythondata_cpu_microwatt/vhdl/tests/984.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,558 root INFO copying pythondata_cpu_microwatt/vhdl/tests/984.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,559 root INFO copying pythondata_cpu_microwatt/vhdl/tests/985.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,560 root INFO copying pythondata_cpu_microwatt/vhdl/tests/985.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,561 root INFO copying pythondata_cpu_microwatt/vhdl/tests/986.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,562 root INFO copying pythondata_cpu_microwatt/vhdl/tests/986.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,563 root INFO copying pythondata_cpu_microwatt/vhdl/tests/987.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,564 root INFO copying pythondata_cpu_microwatt/vhdl/tests/987.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,565 root INFO copying pythondata_cpu_microwatt/vhdl/tests/988.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,566 root INFO copying pythondata_cpu_microwatt/vhdl/tests/988.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,567 root INFO copying pythondata_cpu_microwatt/vhdl/tests/989.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,568 root INFO copying pythondata_cpu_microwatt/vhdl/tests/989.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,569 root INFO copying pythondata_cpu_microwatt/vhdl/tests/99.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,570 root INFO copying pythondata_cpu_microwatt/vhdl/tests/99.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,571 root INFO copying pythondata_cpu_microwatt/vhdl/tests/990.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,572 root INFO copying pythondata_cpu_microwatt/vhdl/tests/990.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,573 root INFO copying pythondata_cpu_microwatt/vhdl/tests/991.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,574 root INFO copying pythondata_cpu_microwatt/vhdl/tests/991.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,575 root INFO copying pythondata_cpu_microwatt/vhdl/tests/992.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,576 root INFO copying pythondata_cpu_microwatt/vhdl/tests/992.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,577 root INFO copying pythondata_cpu_microwatt/vhdl/tests/993.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,578 root INFO copying pythondata_cpu_microwatt/vhdl/tests/993.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,579 root INFO copying pythondata_cpu_microwatt/vhdl/tests/994.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,580 root INFO copying pythondata_cpu_microwatt/vhdl/tests/994.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,581 root INFO copying pythondata_cpu_microwatt/vhdl/tests/995.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,582 root INFO copying pythondata_cpu_microwatt/vhdl/tests/995.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,583 root INFO copying pythondata_cpu_microwatt/vhdl/tests/996.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,584 root INFO copying pythondata_cpu_microwatt/vhdl/tests/996.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,585 root INFO copying pythondata_cpu_microwatt/vhdl/tests/997.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,586 root INFO copying pythondata_cpu_microwatt/vhdl/tests/997.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,587 root INFO copying pythondata_cpu_microwatt/vhdl/tests/998.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,588 root INFO copying pythondata_cpu_microwatt/vhdl/tests/998.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,589 root INFO copying pythondata_cpu_microwatt/vhdl/tests/999.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,590 root INFO copying pythondata_cpu_microwatt/vhdl/tests/999.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,591 root INFO copying pythondata_cpu_microwatt/vhdl/tests/Makefile.test -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,592 root INFO copying pythondata_cpu_microwatt/vhdl/tests/micropython.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,593 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,594 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,595 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,596 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_decrementer.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,597 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_decrementer.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,597 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_decrementer.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,598 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_fpu.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,599 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_fpu.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,600 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_fpu.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,601 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_illegal.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,602 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_illegal.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,603 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_illegal.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,604 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_misc.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,604 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_misc.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,605 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_misc.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,606 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_mmu.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,607 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_mmu.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,608 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_mmu.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,609 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_modes.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,610 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_modes.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,611 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_modes.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,611 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_pmu.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,612 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_pmu.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,613 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_pmu.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,614 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_privileged.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,615 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_privileged.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,616 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_privileged.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,617 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_reservation.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,618 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_reservation.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,619 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_reservation.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,619 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_sc.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,620 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_sc.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,621 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_sc.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,622 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_spr_read.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,623 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_spr_read.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,624 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_spr_read.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,625 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_trace.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,626 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_trace.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,626 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_trace.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,627 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_xics.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,628 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_xics.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,629 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_xics.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,630 root INFO copying pythondata_cpu_microwatt/vhdl/tests/update_console_tests -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:50,631 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,631 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5U-25F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,632 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5U-45F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,633 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5U-85F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,634 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-25F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,635 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-45F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,636 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-85F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,636 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-25F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,637 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-45F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,638 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-85F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,639 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/README -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,640 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/antmicro-artix-dc-scm.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,641 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/arty.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,642 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,643 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t_openocd_v0.11.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,645 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,648 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t_openocd_v0.11.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,651 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,652 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t_openocd_v0.11.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,654 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7k325t_openocd_v0.11.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,657 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/ecp5-evn.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,658 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/flash-arty -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,659 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/genesys2.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,660 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/jtagspi.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,661 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/olimex-arm-usb-tiny-h.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,662 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,662 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7_openocd_v0.11.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:50,663 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:50,664 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/Cargo.toml -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:50,665 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:50,666 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/README.md -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:50,667 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/Xargo.toml -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:50,668 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.c -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:50,669 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.h -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:50,669 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:50,670 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/hello_world.c -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:50,671 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:50,672 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo 2024-03-06 23:02:50,672 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo/config -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo 2024-03-06 23:02:50,673 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/media 2024-03-06 23:02:50,674 root INFO copying pythondata_cpu_microwatt/vhdl/media/microwatt-title.png -> build/lib/pythondata_cpu_microwatt/vhdl/media 2024-03-06 23:02:50,675 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:50,675 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:50,676 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:50,677 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/hello_world.bin -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:50,678 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/hello_world.c -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:50,679 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/hello_world.elf -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:50,680 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/hello_world.hex -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:50,681 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:50,682 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/constraints 2024-03-06 23:02:50,682 root INFO copying pythondata_cpu_microwatt/vhdl/constraints/ecp5-evn.lpf -> build/lib/pythondata_cpu_microwatt/vhdl/constraints 2024-03-06 23:02:50,683 root INFO copying pythondata_cpu_microwatt/vhdl/constraints/orange-crab-0.2.lpf -> build/lib/pythondata_cpu_microwatt/vhdl/constraints 2024-03-06 23:02:50,684 root INFO copying pythondata_cpu_microwatt/vhdl/constraints/orange-crab.lpf -> build/lib/pythondata_cpu_microwatt/vhdl/constraints 2024-03-06 23:02:50,685 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/liteeth.core -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth 2024-03-06 23:02:50,686 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/lib 2024-03-06 23:02:50,687 root INFO copying pythondata_cpu_microwatt/vhdl/lib/console.c -> build/lib/pythondata_cpu_microwatt/vhdl/lib 2024-03-06 23:02:50,687 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/verilator 2024-03-06 23:02:50,688 root INFO copying pythondata_cpu_microwatt/vhdl/verilator/microwatt-verilator.cpp -> build/lib/pythondata_cpu_microwatt/vhdl/verilator 2024-03-06 23:02:50,689 root INFO copying pythondata_cpu_microwatt/vhdl/verilator/uart-verilator.c -> build/lib/pythondata_cpu_microwatt/vhdl/verilator 2024-03-06 23:02:50,690 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/litedram.core -> build/lib/pythondata_cpu_microwatt/vhdl/litedram 2024-03-06 23:02:50,691 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/make_version.sh -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:50,692 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/run_test.sh -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:50,692 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/run_test_console.sh -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:50,693 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/vhdltags -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:50,694 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src 2024-03-06 23:02:50,695 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/gen-src/generate.sh -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src 2024-03-06 23:02:50,696 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated 2024-03-06 23:02:50,696 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice 2024-03-06 23:02:50,697 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice/litesdcard_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice 2024-03-06 23:02:50,698 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx 2024-03-06 23:02:50,698 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx/litesdcard_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx 2024-03-06 23:02:50,700 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-03-06 23:02:50,700 root INFO copying pythondata_cpu_microwatt/vhdl/tests/privileged/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-03-06 23:02:50,701 root INFO copying pythondata_cpu_microwatt/vhdl/tests/privileged/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-03-06 23:02:50,702 root INFO copying pythondata_cpu_microwatt/vhdl/tests/privileged/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-03-06 23:02:50,703 root INFO copying pythondata_cpu_microwatt/vhdl/tests/privileged/privileged.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-03-06 23:02:50,704 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-03-06 23:02:50,704 root INFO copying pythondata_cpu_microwatt/vhdl/tests/spr_read/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-03-06 23:02:50,705 root INFO copying pythondata_cpu_microwatt/vhdl/tests/spr_read/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-03-06 23:02:50,706 root INFO copying pythondata_cpu_microwatt/vhdl/tests/spr_read/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-03-06 23:02:50,707 root INFO copying pythondata_cpu_microwatt/vhdl/tests/spr_read/spr_read.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-03-06 23:02:50,708 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-03-06 23:02:50,709 root INFO copying pythondata_cpu_microwatt/vhdl/tests/trace/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-03-06 23:02:50,709 root INFO copying pythondata_cpu_microwatt/vhdl/tests/trace/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-03-06 23:02:50,710 root INFO copying pythondata_cpu_microwatt/vhdl/tests/trace/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-03-06 23:02:50,711 root INFO copying pythondata_cpu_microwatt/vhdl/tests/trace/trace.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-03-06 23:02:50,712 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-03-06 23:02:50,712 root INFO copying pythondata_cpu_microwatt/vhdl/tests/misc/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-03-06 23:02:50,713 root INFO copying pythondata_cpu_microwatt/vhdl/tests/misc/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-03-06 23:02:50,714 root INFO copying pythondata_cpu_microwatt/vhdl/tests/misc/misc.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-03-06 23:02:50,715 root INFO copying pythondata_cpu_microwatt/vhdl/tests/misc/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-03-06 23:02:50,716 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-03-06 23:02:50,716 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-03-06 23:02:50,717 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-03-06 23:02:50,718 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-03-06 23:02:50,719 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/xics.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-03-06 23:02:50,720 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/xics.h -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-03-06 23:02:50,721 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-03-06 23:02:50,721 root INFO copying pythondata_cpu_microwatt/vhdl/tests/pmu/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-03-06 23:02:50,722 root INFO copying pythondata_cpu_microwatt/vhdl/tests/pmu/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-03-06 23:02:50,723 root INFO copying pythondata_cpu_microwatt/vhdl/tests/pmu/pmu.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-03-06 23:02:50,724 root INFO copying pythondata_cpu_microwatt/vhdl/tests/pmu/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-03-06 23:02:50,725 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-03-06 23:02:50,725 root INFO copying pythondata_cpu_microwatt/vhdl/tests/mmu/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-03-06 23:02:50,726 root INFO copying pythondata_cpu_microwatt/vhdl/tests/mmu/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-03-06 23:02:50,727 root INFO copying pythondata_cpu_microwatt/vhdl/tests/mmu/mmu.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-03-06 23:02:50,728 root INFO copying pythondata_cpu_microwatt/vhdl/tests/mmu/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-03-06 23:02:50,729 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-03-06 23:02:50,730 root INFO copying pythondata_cpu_microwatt/vhdl/tests/fpu/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-03-06 23:02:50,730 root INFO copying pythondata_cpu_microwatt/vhdl/tests/fpu/fpu.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-03-06 23:02:50,731 root INFO copying pythondata_cpu_microwatt/vhdl/tests/fpu/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-03-06 23:02:50,732 root INFO copying pythondata_cpu_microwatt/vhdl/tests/fpu/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-03-06 23:02:50,733 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-03-06 23:02:50,734 root INFO copying pythondata_cpu_microwatt/vhdl/tests/sc/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-03-06 23:02:50,734 root INFO copying pythondata_cpu_microwatt/vhdl/tests/sc/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-03-06 23:02:50,735 root INFO copying pythondata_cpu_microwatt/vhdl/tests/sc/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-03-06 23:02:50,736 root INFO copying pythondata_cpu_microwatt/vhdl/tests/sc/sc.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-03-06 23:02:50,737 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-03-06 23:02:50,738 root INFO copying pythondata_cpu_microwatt/vhdl/tests/modes/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-03-06 23:02:50,739 root INFO copying pythondata_cpu_microwatt/vhdl/tests/modes/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-03-06 23:02:50,739 root INFO copying pythondata_cpu_microwatt/vhdl/tests/modes/modes.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-03-06 23:02:50,740 root INFO copying pythondata_cpu_microwatt/vhdl/tests/modes/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-03-06 23:02:50,741 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-03-06 23:02:50,742 root INFO copying pythondata_cpu_microwatt/vhdl/tests/decrementer/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-03-06 23:02:50,743 root INFO copying pythondata_cpu_microwatt/vhdl/tests/decrementer/decrementer.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-03-06 23:02:50,744 root INFO copying pythondata_cpu_microwatt/vhdl/tests/decrementer/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-03-06 23:02:50,744 root INFO copying pythondata_cpu_microwatt/vhdl/tests/decrementer/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-03-06 23:02:50,745 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-03-06 23:02:50,746 root INFO copying pythondata_cpu_microwatt/vhdl/tests/reservation/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-03-06 23:02:50,747 root INFO copying pythondata_cpu_microwatt/vhdl/tests/reservation/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-03-06 23:02:50,747 root INFO copying pythondata_cpu_microwatt/vhdl/tests/reservation/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-03-06 23:02:50,748 root INFO copying pythondata_cpu_microwatt/vhdl/tests/reservation/reservation.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-03-06 23:02:50,749 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-03-06 23:02:50,750 root INFO copying pythondata_cpu_microwatt/vhdl/tests/illegal/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-03-06 23:02:50,750 root INFO copying pythondata_cpu_microwatt/vhdl/tests/illegal/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-03-06 23:02:50,751 root INFO copying pythondata_cpu_microwatt/vhdl/tests/illegal/illegal.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-03-06 23:02:50,752 root INFO copying pythondata_cpu_microwatt/vhdl/tests/illegal/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-03-06 23:02:50,753 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-03-06 23:02:50,754 root INFO copying pythondata_cpu_microwatt/vhdl/tests/branch_alias/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-03-06 23:02:50,754 root INFO copying pythondata_cpu_microwatt/vhdl/tests/branch_alias/branch_alias.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-03-06 23:02:50,755 root INFO copying pythondata_cpu_microwatt/vhdl/tests/branch_alias/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-03-06 23:02:50,756 root INFO copying pythondata_cpu_microwatt/vhdl/tests/branch_alias/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-03-06 23:02:50,757 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src 2024-03-06 23:02:50,757 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/src/lib.rs -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src 2024-03-06 23:02:50,758 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-03-06 23:02:50,759 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/gen-src/arty.yml -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-03-06 23:02:50,759 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/gen-src/generate.sh -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-03-06 23:02:50,760 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/gen-src/nexys-video.yml -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-03-06 23:02:50,761 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/gen-src/wukong-v2.yml -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-03-06 23:02:50,762 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated 2024-03-06 23:02:50,762 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video 2024-03-06 23:02:50,763 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video/liteeth_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video 2024-03-06 23:02:50,764 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty 2024-03-06 23:02:50,765 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/generated/arty/liteeth_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty 2024-03-06 23:02:50,766 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2 2024-03-06 23:02:50,767 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2/liteeth_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2 2024-03-06 23:02:50,768 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/litedram-wrapper-l2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:50,769 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/sim_dram_verilate.mk -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:50,770 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:50,771 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram_c.cpp -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:50,772 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/wave.gtkw -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:50,773 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/wave.opt -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:50,774 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/wave_tb.gtkw -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:50,775 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated 2024-03-06 23:02:50,775 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-03-06 23:02:50,775 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-03-06 23:02:50,776 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-03-06 23:02:50,777 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-03-06 23:02:50,779 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/acorn-cle-215.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:50,780 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/arty.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:50,781 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/dram-init-mem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:50,782 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/genesys2.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:50,783 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/nexys-video.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:50,784 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/no-init-mem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:50,785 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/orangecrab-85-0.2.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:50,786 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sim.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:50,786 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/wukong-v2.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:50,787 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-03-06 23:02:50,788 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-03-06 23:02:50,789 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-03-06 23:02:50,790 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-03-06 23:02:50,792 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-03-06 23:02:50,792 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-03-06 23:02:50,793 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-03-06 23:02:50,794 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-03-06 23:02:50,796 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-03-06 23:02:50,797 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-03-06 23:02:50,798 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-03-06 23:02:50,799 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-03-06 23:02:50,801 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-03-06 23:02:50,802 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-03-06 23:02:50,803 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-03-06 23:02:50,804 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-03-06 23:02:50,806 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-03-06 23:02:50,806 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-03-06 23:02:50,807 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-03-06 23:02:50,808 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-03-06 23:02:50,810 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-03-06 23:02:50,811 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-03-06 23:02:50,811 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-03-06 23:02:50,812 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-03-06 23:02:50,814 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-03-06 23:02:50,815 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-03-06 23:02:50,816 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/main.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-03-06 23:02:50,817 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/sdram_init.lds.S -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-03-06 23:02:50,818 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-03-06 23:02:50,819 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf64.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-03-06 23:02:50,820 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf_common.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-03-06 23:02:50,821 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/system.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-03-06 23:02:50,822 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc 2024-03-06 23:02:50,822 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,822 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isdigit.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,823 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isprint.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,824 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isspace.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,825 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isxdigit.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,826 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memchr.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,827 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,828 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcpy.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,829 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memmove.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,830 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memset.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,831 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcasecmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,831 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcat.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,832 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strchr.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,833 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,834 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcpy.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,835 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strlen.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,836 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncasecmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,837 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,837 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncpy.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,838 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strrchr.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,839 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strstr.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,840 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtok.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,841 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtol.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,842 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtoul.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,843 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/tolower.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,844 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/toupper.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,844 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/vsnprintf.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:50,845 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:50,846 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/assert.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:50,847 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/compiler.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:50,847 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/ctype.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:50,848 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/errno.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:50,849 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/limits.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:50,850 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdint.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:50,851 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdio.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:50,852 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdlib.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:50,853 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/string.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:50,854 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/unistd.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:50,854 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-03-06 23:02:50,855 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/fmt_log/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-03-06 23:02:50,856 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/fmt_log/fmt_log.c -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-03-06 23:02:50,857 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-03-06 23:02:50,857 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/mw_debug/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-03-06 23:02:50,858 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/mw_debug/README -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-03-06 23:02:50,859 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/mw_debug/mw_debug.c -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-03-06 23:02:50,945 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:02:50,945 root INFO running install 2024-03-06 23:02:51,025 root INFO running install_lib 2024-03-06 23:02:51,036 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:02:51,037 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:02:51,037 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt 2024-03-06 23:02:51,038 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,039 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/multiply.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,039 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_bram_helpers.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,040 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dcache.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,041 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/plru_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,042 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/utils.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,043 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/run.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,043 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_arbiter.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,044 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rotator.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,045 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/writeback.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,046 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dmi_dtm_xilinx.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,046 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/countbits_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,047 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/spi_flash_ctrl.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,048 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/soc.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,049 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:51,050 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_rfifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:51,050 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/raminfr.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:51,051 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_sync_flops.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:51,052 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_defines.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:51,053 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_regs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:51,053 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_receiver.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:51,054 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_wb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:51,055 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_transmitter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:51,056 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:51,057 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_tfifo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:51,057 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart16550.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-03-06 23:02:51,058 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dmi_dtm_ecp5.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,059 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/micropython 2024-03-06 23:02:51,060 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/micropython/firmware.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/micropython 2024-03-06 23:02:51,061 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/micropython/firmware.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/micropython 2024-03-06 23:02:51,063 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/micropython/firmware.elf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/micropython 2024-03-06 23:02:51,068 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/decode_types.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,069 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/glibc_random.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,070 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-03-06 23:02:51,070 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim/BSCANE2.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-03-06 23:02:51,071 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim/unisim_vcomponents.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-03-06 23:02:51,072 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim/BUFG.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-03-06 23:02:51,073 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/countbits.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,073 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fetch1.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,074 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/include 2024-03-06 23:02:51,075 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/include/console.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/include 2024-03-06 23:02:51,076 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/include/io.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/include 2024-03-06 23:02:51,076 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/include/microwatt_soc.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/include 2024-03-06 23:02:51,077 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/control.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,078 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/cr_file.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,079 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_no_flash.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,080 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_jtag.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,081 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/predecode.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,082 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/random.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,082 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/decode1.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,083 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/icache_test.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,084 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpu.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,086 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,086 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/acorn-cle-215.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,087 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/clk_gen_ecp5.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,088 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/soc_reset_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,089 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/arty_a7.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,090 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/fpga-random.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,090 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-arty.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,091 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/cmod_a7-35.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,092 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,093 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-acorn-cle-215.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,094 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/antmicro_artix_dc_scm.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,095 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/soc_reset.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,095 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/main_bram.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,096 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-orangecrab0.2.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,097 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/fpga-random.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,098 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-nexys-video.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,099 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/clk_gen_plle2.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,100 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-genesys2.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,101 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/clk_gen_mcmm.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,101 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/nexys_a7.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,102 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/nexys-video.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,103 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/genesys2.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,104 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-generic.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,105 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/clk_gen_bypass.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,105 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/pp_fifo.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,106 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/pp_utilities.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,107 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/wukong-v2.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,108 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/hello_world.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,109 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/firmware.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,111 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-antmicro-artix-dc-scm.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,112 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-wukong-v2.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,113 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/pp_soc_uart.vhd -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-03-06 23:02:51,113 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,114 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard 2024-03-06 23:02:51,115 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated 2024-03-06 23:02:51,115 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice 2024-03-06 23:02:51,116 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice/litesdcard_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice 2024-03-06 23:02:51,117 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx 2024-03-06 23:02:51,118 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx/litesdcard_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx 2024-03-06 23:02:51,119 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/fusesoc-add-files.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard 2024-03-06 23:02:51,120 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src 2024-03-06 23:02:51,120 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src/generate.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src 2024-03-06 23:02:51,121 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/litesdcard.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard 2024-03-06 23:02:51,122 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/xics.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,123 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_types.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,123 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/register_file.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,124 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/cache_ram.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:51,130 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,130 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/599.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,131 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/637.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,132 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/714.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,133 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/134.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,134 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/185.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,135 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/716.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,136 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/344.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,136 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/117.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,137 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/806.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,138 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/900.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,139 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/617.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,140 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/966.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,141 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/370.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,142 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/795.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,142 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/773.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,143 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/763.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,144 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/190.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,145 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/187.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,146 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/264.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,147 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/912.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,148 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/860.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,149 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/58.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,149 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/340.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,150 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/461.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,151 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/991.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,152 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/642.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,153 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/869.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,154 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/291.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,155 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/387.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,156 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/927.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,157 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/526.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,158 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/325.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,159 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/779.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,160 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/752.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,161 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/399.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,162 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/729.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,163 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/177.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,164 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/627.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,164 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/725.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,165 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/959.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,166 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/183.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,167 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/77.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,167 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/707.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,168 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/985.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,169 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/886.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,170 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/438.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,171 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/550.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,172 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/780.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,173 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/359.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,174 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/252.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,175 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/733.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,175 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/152.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,176 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/598.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,177 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/885.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,178 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/699.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,178 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/87.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,179 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/20.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,180 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/600.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,181 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/84.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,182 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/35.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,183 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/123.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,184 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/179.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,184 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/504.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,185 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/471.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,186 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/856.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,187 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/472.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,188 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/899.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,189 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/270.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,190 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/810.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,190 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/370.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,191 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/90.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,192 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/890.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,193 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/862.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,194 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/855.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,195 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/946.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,196 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/196.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,197 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/200.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,198 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/968.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,199 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/890.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,200 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/959.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,201 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/16.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,201 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/658.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,202 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/881.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,203 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/602.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,204 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/293.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,205 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/396.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,206 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_reservation.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,207 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/614.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,208 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/858.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,209 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/952.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,209 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/410.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,210 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/397.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,211 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/311.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,212 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/673.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,213 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/116.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,214 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/506.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,214 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/955.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,215 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/91.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,216 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/133.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,217 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/213.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,218 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/106.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,219 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/956.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,220 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/11.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,221 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/563.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,222 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/276.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,222 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/790.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,223 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/917.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,224 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/194.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,225 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/446.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,226 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/644.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,227 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/616.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,228 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/973.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,229 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/225.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,229 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/677.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,230 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/171.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,231 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/263.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,232 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/777.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,233 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/591.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,234 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/314.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,235 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/52.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,235 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/86.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,236 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/607.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,237 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/899.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,238 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/506.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,239 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/688.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,240 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/278.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,241 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/549.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,241 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/427.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,242 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-03-06 23:02:51,243 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-03-06 23:02:51,244 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-03-06 23:02:51,245 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged/privileged.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-03-06 23:02:51,245 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-03-06 23:02:51,246 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/879.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,247 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/725.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,248 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/847.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,249 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/533.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,250 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/150.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,251 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/285.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,252 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/745.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,253 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/648.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,254 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/487.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,255 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/647.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,256 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/362.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,256 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/250.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,257 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/931.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,258 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/629.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,259 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/972.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,260 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/109.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,261 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/212.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,262 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/529.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,263 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/update_console_tests -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,264 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/308.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,265 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/132.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,266 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/964.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,267 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/788.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,268 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/290.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,268 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/376.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,269 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/81.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,270 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/423.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,271 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_fpu.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,272 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/416.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,273 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/835.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,274 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/978.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,275 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/680.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,275 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/486.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,276 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/75.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,277 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/676.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,278 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/727.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,279 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/463.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,280 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/213.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,281 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/875.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,281 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/942.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,282 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/926.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,283 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/46.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,284 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/844.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,285 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/26.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,286 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/372.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,286 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/575.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,287 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_modes.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,288 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/683.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,289 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/481.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,290 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/574.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,291 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/601.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,291 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/346.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,292 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/822.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,293 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/292.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,294 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/464.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,295 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/689.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,296 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/710.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,297 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/977.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,298 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/630.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,299 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/747.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,299 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/687.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,300 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/701.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,301 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/786.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,302 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/902.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,303 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/423.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,304 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/429.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,305 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/357.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,305 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_privileged.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,306 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/304.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,307 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/318.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,308 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/164.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,309 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/375.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,310 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/42.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,310 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/154.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,311 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/943.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,312 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/209.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,313 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/30.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,314 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/325.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,314 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/461.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,315 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/671.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,316 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/416.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,317 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/151.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,318 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/728.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,318 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/49.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,319 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/972.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,320 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/66.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,321 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/241.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,322 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/73.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,323 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-03-06 23:02:51,323 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-03-06 23:02:51,324 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-03-06 23:02:51,325 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read/spr_read.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-03-06 23:02:51,326 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-03-06 23:02:51,326 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/125.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,327 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/289.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,328 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/254.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,329 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/734.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,330 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/18.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,331 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/871.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,332 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/220.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,333 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/92.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,334 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/590.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,335 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/684.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,336 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/355.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,336 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/144.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,337 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/694.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,338 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/736.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,339 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/491.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,340 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/703.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,341 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/796.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,342 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/83.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,343 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/232.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,344 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/61.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,345 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/382.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,346 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/257.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,346 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/303.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,347 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/225.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,348 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/677.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,349 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/406.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,350 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/302.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,351 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/268.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,352 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/476.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,353 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/829.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,353 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/647.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,354 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/466.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,355 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/945.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,356 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/376.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,357 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/342.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,358 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/714.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,359 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/603.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,360 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/462.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,361 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/238.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,361 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/675.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,362 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/457.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,363 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/54.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,364 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/829.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,365 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/951.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,366 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/312.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,367 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/876.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,368 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/940.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,369 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/158.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,370 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/129.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,371 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/628.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,371 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/183.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,372 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/482.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,373 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/768.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,374 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/678.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,375 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/969.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,376 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/149.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,377 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/184.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,377 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/970.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,378 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/679.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,379 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/96.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,380 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/649.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,381 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/994.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,382 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/284.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,382 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/53.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,383 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/848.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,384 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/611.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,385 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/197.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,386 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/997.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,387 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/586.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,387 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/204.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,388 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/819.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,389 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/674.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,390 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/873.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,391 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/383.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,392 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/691.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,392 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/517.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,393 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/107.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,394 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/742.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,395 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/992.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,396 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/158.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,397 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/189.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,397 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/842.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,398 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/160.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,399 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/888.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,400 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/638.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,401 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/476.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,401 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/115.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,402 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/566.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,403 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/402.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,404 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/808.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,405 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/618.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,406 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/389.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,407 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/989.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,408 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/558.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,409 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/284.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,410 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/67.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,411 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/404.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,412 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/153.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,413 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-03-06 23:02:51,413 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/trace/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-03-06 23:02:51,414 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/trace/trace.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-03-06 23:02:51,415 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/trace/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-03-06 23:02:51,415 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/trace/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-03-06 23:02:51,416 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/635.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,417 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/534.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,418 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/66.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,419 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/921.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,419 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/205.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,420 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/606.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,421 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/791.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,422 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/619.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,423 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/582.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,423 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/404.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,424 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/573.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,425 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/945.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,426 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/893.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,427 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/458.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,428 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/322.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,429 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/432.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,430 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/697.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,431 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/327.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,432 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/712.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,433 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/717.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,434 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/134.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,434 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/935.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,435 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/13.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,436 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/426.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,437 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/492.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,438 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/471.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,439 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/460.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,440 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/679.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,441 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/775.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,442 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/606.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,443 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/813.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,444 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/878.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,445 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/193.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,446 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/202.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,447 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/906.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,447 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/821.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,448 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/785.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,449 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/848.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,450 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/170.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,451 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/1.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,452 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/369.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,452 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/988.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,453 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/313.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,454 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/940.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,455 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/929.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,455 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/868.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,456 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/545.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,457 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/336.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,458 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/182.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,459 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/650.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,460 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/55.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,461 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_reservation.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,462 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/149.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,463 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/188.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,464 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/262.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,464 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/660.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,465 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/489.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,466 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/976.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,467 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/490.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,468 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/502.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,469 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/831.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,470 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/175.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,471 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/928.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,471 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/618.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,472 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/863.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,473 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/687.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,474 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/91.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,475 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/804.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,476 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/470.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,477 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/776.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,478 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/520.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,479 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/318.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,480 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/730.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,481 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/410.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,481 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/162.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,482 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/343.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,483 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/651.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,484 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/820.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,485 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/845.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,486 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/375.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,487 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/633.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,488 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/951.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,488 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_trace.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,489 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/411.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,490 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-03-06 23:02:51,491 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/misc/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-03-06 23:02:51,492 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/misc/misc.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-03-06 23:02:51,492 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/misc/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-03-06 23:02:51,493 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/misc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-03-06 23:02:51,494 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_pmu.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,495 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/119.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,495 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/584.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,496 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/942.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,497 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/239.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,498 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/950.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,499 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/179.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,500 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/923.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,501 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/211.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,502 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/39.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,502 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/372.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,503 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/799.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,504 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/669.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,505 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/103.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,506 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/366.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,507 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/8.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,508 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/646.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,508 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/511.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,509 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/753.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,510 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/582.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,511 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/827.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,512 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/789.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,513 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/709.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,514 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/301.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,515 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/806.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,516 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/6.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,516 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/223.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,517 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/554.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,518 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/792.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,519 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/692.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,520 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/977.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,521 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/Makefile.test -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,522 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/262.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,523 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/115.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,523 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_xics.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,524 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/478.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,525 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/141.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,526 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/693.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,527 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/223.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,528 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/577.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,528 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/147.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,529 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/238.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,530 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/706.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,531 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/3.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,532 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/441.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,533 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/336.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,534 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/907.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,535 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/78.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,535 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/704.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,536 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/373.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,537 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/512.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,538 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/268.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,539 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/111.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,540 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/297.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,541 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/631.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,542 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/567.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,542 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/962.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,543 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/519.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,544 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/413.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,545 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/907.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,546 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/932.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,547 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/130.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,548 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/31.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,549 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/277.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,550 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/73.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,551 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/244.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,551 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/563.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,552 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/746.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,553 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/173.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,554 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/996.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,555 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/762.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,556 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/226.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,557 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/874.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,558 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/40.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,559 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/893.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,560 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/986.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,561 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/464.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,562 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/562.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,563 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/935.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,563 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/521.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,564 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/377.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,565 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/497.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,566 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/828.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,567 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/164.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,567 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/624.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,568 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/227.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,569 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/195.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,570 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/742.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,571 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/919.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,572 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/810.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,573 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/458.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,574 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/918.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,575 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/740.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,576 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/834.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,577 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/68.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,578 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/441.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,579 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/794.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,580 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/165.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,581 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/294.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,582 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/565.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,583 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/605.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,583 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/769.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,584 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/975.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,585 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/355.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,586 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/835.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,587 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/332.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,588 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/939.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,589 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/477.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,590 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/716.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,591 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/206.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,592 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/765.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,593 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/904.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,594 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/436.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,595 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/556.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,595 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/409.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,596 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/570.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,597 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/811.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,598 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/550.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,599 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/603.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,599 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/596.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,600 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/310.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,601 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/322.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,602 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/760.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,602 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/450.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,603 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/210.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,604 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/206.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,605 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/619.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,606 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/997.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,607 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/564.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,608 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/280.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,609 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/930.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,610 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/36.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,611 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/965.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,612 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/450.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,612 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/970.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,613 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/948.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,614 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_trace.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,615 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/719.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,616 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/533.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,617 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/439.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,617 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/141.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,618 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/386.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,619 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/214.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,620 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/870.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,621 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/764.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,622 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/649.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,623 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/113.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,624 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/508.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,625 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_reservation.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,626 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/483.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,627 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/468.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,628 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/652.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,629 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/297.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,629 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/28.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,631 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/820.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,631 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/59.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,632 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/474.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,633 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/834.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,634 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/871.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,635 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/137.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,636 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/84.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,636 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/730.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,637 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/135.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,638 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/819.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,639 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/656.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,640 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/495.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,641 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/832.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,642 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/7.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,643 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/452.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,644 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/263.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,645 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/807.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,645 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/688.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,646 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/799.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,647 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/168.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,648 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/381.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,649 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/976.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,650 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/188.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,651 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/182.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,652 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/808.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,652 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/154.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,653 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/428.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,654 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/260.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,655 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/324.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,656 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/440.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,657 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/101.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,658 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/231.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,659 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/866.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,660 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/713.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,661 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/497.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,662 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/610.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,663 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/605.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,664 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/656.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,665 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/696.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,665 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/885.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,666 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/156.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,667 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/405.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,668 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/883.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,669 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-03-06 23:02:51,670 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-03-06 23:02:51,670 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-03-06 23:02:51,671 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/xics.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-03-06 23:02:51,672 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/xics.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-03-06 23:02:51,673 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-03-06 23:02:51,673 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/739.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,674 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/867.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,675 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/394.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,676 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/581.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,677 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/608.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,678 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/317.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,679 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/595.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,680 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/620.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,681 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/239.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,681 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/420.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,682 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/269.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,683 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/104.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,684 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/42.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,685 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/385.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,686 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_sc.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,686 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/462.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,687 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/427.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,688 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/104.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,689 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/124.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,690 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/555.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,691 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/548.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,692 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/655.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,693 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_misc.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,694 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/329.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,694 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/975.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,695 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/967.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,696 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/348.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,697 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/120.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,698 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/861.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,699 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/938.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,699 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/778.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,700 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/12.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,701 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/35.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,702 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/542.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,703 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/130.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,704 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/214.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,704 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/660.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,706 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/852.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,707 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_misc.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,707 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/128.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,708 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/625.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,709 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/689.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,710 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/776.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,711 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/735.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,711 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/208.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,712 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/530.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,713 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/207.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,714 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/122.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,715 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/509.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,715 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/657.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,716 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/557.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,717 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/367.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,718 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/739.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,719 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/248.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,719 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/218.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,720 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/120.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,721 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/925.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,722 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/547.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,723 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/766.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,724 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/982.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,724 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/571.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,725 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/480.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,726 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/70.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,727 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/575.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,728 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_decrementer.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,728 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/487.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,729 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/613.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,730 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/124.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,731 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/924.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,732 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/897.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,733 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/911.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,734 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/45.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,735 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/399.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,735 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/76.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,736 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/415.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,737 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/941.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,738 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/659.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,739 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/395.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,740 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/365.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,740 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_illegal.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,741 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/110.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,742 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/379.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,743 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/244.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,744 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/743.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,744 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/371.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,745 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/388.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,746 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/484.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,747 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/338.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,748 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/132.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,749 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/455.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,749 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/253.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,751 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-03-06 23:02:51,751 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-03-06 23:02:51,752 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-03-06 23:02:51,753 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-03-06 23:02:51,753 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu/pmu.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-03-06 23:02:51,754 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/334.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,755 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/230.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,756 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/270.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,757 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/159.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,758 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/432.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,759 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/944.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,760 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/778.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,761 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/992.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,761 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/229.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,762 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/532.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,763 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/971.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,764 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/516.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,765 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/749.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,766 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/536.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,767 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/490.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,768 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/756.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,769 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/578.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,770 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/902.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,770 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/312.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,771 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/686.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,772 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/429.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,773 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/965.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,774 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/587.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,775 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/501.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,776 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/233.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,777 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/389.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,778 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/905.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,778 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/422.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,779 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/67.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,780 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/276.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,781 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/980.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,782 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/836.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,783 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/911.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,784 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/203.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,785 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/445.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,786 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/384.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,786 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/100.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,787 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/288.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,788 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/300.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,789 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/507.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,790 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/422.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,791 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/504.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,792 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/518.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,792 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/723.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,793 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/708.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,794 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/133.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,795 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/60.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,796 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/51.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,796 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/668.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,797 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/821.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,798 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/561.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,799 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/623.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,800 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/185.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,801 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/320.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,802 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/199.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,803 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/448.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,804 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/823.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,805 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/194.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,806 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/444.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,807 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/245.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,807 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/511.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,808 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/356.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,809 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/105.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,810 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/105.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,811 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/212.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,812 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/578.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,813 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/12.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,814 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/193.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,815 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-03-06 23:02:51,815 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-03-06 23:02:51,816 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-03-06 23:02:51,817 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu/mmu.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-03-06 23:02:51,817 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-03-06 23:02:51,818 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/428.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,819 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/571.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,820 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/839.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,821 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/751.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,821 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/630.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,822 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/20.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,823 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/526.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,824 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/960.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,825 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/305.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,826 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/784.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,827 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/127.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,828 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/65.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,828 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/171.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,829 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/356.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,830 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/187.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,831 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/900.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,831 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/166.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,832 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/530.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,833 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/889.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,834 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/711.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,835 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/995.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,836 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/125.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,837 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/995.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,838 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/991.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,839 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/407.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,839 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/983.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,840 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/658.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,841 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/860.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,842 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/996.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,843 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/488.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,843 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/851.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,844 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/576.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,845 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/494.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,846 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/424.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,847 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/528.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,848 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/654.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,849 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/282.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,849 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/709.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,850 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/505.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,851 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/228.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,852 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/510.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,853 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/750.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,854 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/11.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,855 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/63.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,856 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/157.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,857 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/604.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,858 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/354.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,859 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/501.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,859 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/906.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,860 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/803.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,861 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/449.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,862 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/47.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,863 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_spr_read.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,864 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/240.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,865 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/990.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,865 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/307.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,866 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/674.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,867 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/888.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,868 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/272.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,869 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/732.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,870 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/14.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,871 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/538.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,872 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/483.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,873 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/17.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,874 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/111.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,875 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/351.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,876 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/561.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,877 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/525.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,877 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/597.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,878 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/54.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,879 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/774.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,880 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/110.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,881 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/755.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,882 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/88.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,883 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/707.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,884 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/802.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,885 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/266.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,886 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/69.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,887 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/715.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,888 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/378.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,889 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/634.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,890 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_fpu.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,890 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/738.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,891 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/818.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,892 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/740.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,893 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/964.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,894 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,894 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/97.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,895 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/761.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,896 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/1.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,897 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/251.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,898 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/838.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,899 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/436.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,900 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/565.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,901 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/920.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,902 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/621.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,903 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/425.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,903 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/150.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,904 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/801.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,905 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/853.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,906 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/175.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,907 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/216.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,908 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/401.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,909 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/592.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,910 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/177.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,911 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/68.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,912 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/287.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,913 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/560.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,913 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/274.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,914 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/979.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,915 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/217.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,916 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/272.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,917 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/817.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,918 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/283.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,919 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/323.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,920 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/287.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,921 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/161.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,922 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/82.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,922 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/97.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,923 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/341.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,925 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/76.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,925 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/121.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,926 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/298.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,927 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/869.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,928 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/172.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,929 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/23.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,930 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_spr_read.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,931 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/119.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,932 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/492.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,932 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/570.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,934 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/521.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,934 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/723.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,935 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/24.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,936 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/333.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,937 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/433.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,938 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/77.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,939 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/642.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,940 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/539.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,940 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/929.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,941 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/196.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,942 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/850.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,943 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/722.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,944 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/309.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,945 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/17.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,946 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/826.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,947 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/221.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,948 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/331.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,949 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/71.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,950 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/195.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,950 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/947.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,951 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/388.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,952 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/353.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,953 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/662.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,954 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/713.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,955 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/990.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,956 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/482.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,957 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/661.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,957 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/620.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,958 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/326.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,959 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/371.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,960 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/708.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,961 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/722.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,961 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/437.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,962 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/99.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,963 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/39.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,964 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/843.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,965 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/718.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,966 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/628.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,967 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/203.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,968 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/83.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,969 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/197.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,970 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/415.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,971 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/817.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,972 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_sc.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,972 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/438.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,973 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/910.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,974 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/596.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,975 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_trace.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,976 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/431.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,977 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/243.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,978 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/374.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,978 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/920.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,979 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/842.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,980 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/908.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,981 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/589.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,982 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_xics.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,983 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/692.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,984 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/413.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,985 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/90.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,985 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/757.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,986 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/53.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,987 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/25.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,988 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/727.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,989 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/891.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,990 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/974.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,991 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/913.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,991 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/189.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,992 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/37.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,993 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/475.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,994 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_fpu.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,995 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/583.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,996 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/80.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,996 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/662.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,998 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/650.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:51,999 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/314.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,000 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/470.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,000 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/693.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,001 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/467.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,002 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/349.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,003 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/711.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,004 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/116.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,005 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/99.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,005 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/362.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,006 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/348.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,007 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/930.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,008 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/551.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,009 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/655.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,010 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/52.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,011 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/914.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,012 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/540.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,013 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/984.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,014 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/953.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,015 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/702.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,016 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/296.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,017 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_mmu.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,017 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/666.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,018 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/379.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,019 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/139.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,020 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/74.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,021 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/892.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,022 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_misc.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,023 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/28.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,024 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/523.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,025 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/877.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,025 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/391.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,026 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/162.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,027 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/641.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,028 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/167.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,029 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/92.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,030 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/93.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,031 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/417.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,032 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/805.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,033 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/255.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,033 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/2.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,034 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_modes.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,035 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/779.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,036 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/332.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,037 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/557.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,038 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/668.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,038 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/210.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,039 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/137.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,040 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/361.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,041 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/701.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,042 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/966.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,043 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/759.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,044 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/671.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,045 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/932.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,046 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/961.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,046 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/886.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,047 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/335.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,048 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/29.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,049 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/859.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,050 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/280.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,051 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/667.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,051 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/435.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,052 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/685.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,053 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/226.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,054 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/108.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,055 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/328.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,056 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/881.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,057 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/735.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,058 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/358.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,058 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/748.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,059 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/514.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,060 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/40.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,061 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/824.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,062 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/866.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,063 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/75.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,064 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/250.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,065 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/310.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,066 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/135.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,067 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/121.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,067 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/445.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,068 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/721.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,069 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/546.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,070 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/403.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,071 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/395.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,072 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/554.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,073 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/396.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,073 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/479.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,074 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/590.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,075 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/61.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,076 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/488.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,077 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/174.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,078 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/285.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,079 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/108.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,080 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/393.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,081 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/453.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,082 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/224.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,082 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/833.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,083 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/18.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,084 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/682.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,085 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/934.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,086 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/350.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,086 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/615.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,087 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/334.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,088 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/7.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,089 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/419.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,089 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/536.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,090 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/109.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,091 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/247.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,092 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/543.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,093 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/163.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,094 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/468.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,094 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/640.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,095 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/923.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,096 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/443.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,097 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/386.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,097 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/777.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,098 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/850.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,099 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/621.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,100 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/85.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,101 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/27.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,102 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/248.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,103 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/96.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,103 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/761.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,104 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/928.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,105 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/643.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,106 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/999.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,107 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/513.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,108 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/473.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,109 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_illegal.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,110 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/952.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,111 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/139.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,111 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/524.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,112 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/870.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,113 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/46.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,114 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/374.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,115 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/459.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,117 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/385.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,118 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/989.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,118 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/343.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,119 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/448.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,120 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/822.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,121 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/791.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,122 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/33.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,123 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_privileged.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,124 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/9.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,125 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/814.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,125 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/232.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,126 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/1000.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,127 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/286.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,128 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/391.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,129 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/136.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,130 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/222.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,131 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/27.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,132 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/319.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,133 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/221.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,133 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/58.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,134 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/767.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,135 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/665.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,137 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/784.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,137 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/41.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,138 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/36.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,139 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/595.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,140 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/706.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,141 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/553.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,142 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/553.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,143 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/512.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,143 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/142.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,144 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/357.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,145 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/24.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,146 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/737.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,147 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/192.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,147 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/846.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,148 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/518.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,149 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/962.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,150 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/269.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,151 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/489.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,152 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/85.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,153 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/192.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,154 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/57.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,155 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/523.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,156 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/681.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,157 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/780.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,157 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/363.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,158 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/811.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,159 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/615.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,160 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/43.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,161 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/754.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,162 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/926.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,163 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/19.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,164 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/181.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,165 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/913.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,166 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/704.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,167 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/513.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,168 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/569.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,168 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/100.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,169 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/365.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,170 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/359.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,171 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/643.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,172 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/320.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,172 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/653.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,173 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/181.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,174 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/872.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,175 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/63.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,176 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/368.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,177 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/917.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,178 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/807.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,179 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/960.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,180 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/856.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,181 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/663.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,182 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/337.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,183 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/406.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,184 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/420.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,184 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/145.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,185 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/905.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,186 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/170.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,187 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-03-06 23:02:52,188 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-03-06 23:02:52,189 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-03-06 23:02:52,189 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu/fpu.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-03-06 23:02:52,190 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-03-06 23:02:52,191 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/5.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,192 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/152.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,193 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/398.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,194 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/140.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,195 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/390.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,196 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/23.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,196 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/172.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,197 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/953.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,198 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/950.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,199 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/795.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,200 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/477.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,201 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/587.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,202 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/686.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,203 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/466.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,204 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/611.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,205 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/475.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,206 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/219.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,207 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/435.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,208 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/249.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,209 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/49.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,210 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/852.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,210 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/636.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,211 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/178.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,212 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/833.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,213 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/81.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,214 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/156.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,215 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/267.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,216 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-03-06 23:02:52,217 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/sc/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-03-06 23:02:52,217 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/sc/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-03-06 23:02:52,218 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/sc/sc.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-03-06 23:02:52,219 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/sc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-03-06 23:02:52,220 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/309.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,220 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/micropython.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,222 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/89.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,223 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/983.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,224 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/801.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,224 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/604.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,225 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/946.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,226 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/948.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,227 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/456.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,228 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/392.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,229 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/493.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,230 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/887.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,231 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/814.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,232 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/552.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,232 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/265.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,233 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/159.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,234 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/51.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,235 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/826.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,236 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/442.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,237 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/258.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,238 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/360.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,239 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/872.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,240 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/485.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,241 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/114.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,241 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/949.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,242 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/894.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,243 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/534.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,244 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/243.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,245 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/94.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,246 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/242.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,247 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/931.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,248 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/755.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,249 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/430.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,250 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/143.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,250 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/892.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,251 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/145.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,252 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/933.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,253 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/412.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,254 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/338.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,255 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/453.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,256 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/837.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,257 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/918.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,257 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/572.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,258 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/877.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,259 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_illegal.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,260 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/610.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,261 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/21.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,261 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/560.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,263 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/702.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,263 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/535.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,264 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/987.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,265 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/16.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,266 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/500.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,267 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/594.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,268 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/257.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,269 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/335.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,270 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/254.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,271 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/300.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,272 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/123.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,273 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/529.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,274 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/641.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,275 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/712.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,275 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/444.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,276 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/908.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,277 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/32.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,278 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/880.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,279 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/998.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,280 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/103.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,281 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/743.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,282 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/245.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,283 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/176.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,284 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/472.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,285 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/855.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,285 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/773.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,286 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/485.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,287 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/14.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,288 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/290.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,289 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/527.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,290 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/278.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,291 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/903.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,292 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/393.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,293 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/279.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,294 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/636.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,295 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/234.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,296 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/969.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,297 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/600.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,298 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_spr_read.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,298 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/676.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,299 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/664.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,300 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_decrementer.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,301 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/446.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,301 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/898.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,302 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/796.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,303 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/151.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,304 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/635.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,305 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/64.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,306 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/351.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,307 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/271.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,308 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/859.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,309 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/919.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,309 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/319.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,310 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/198.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,311 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/200.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,312 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/539.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,313 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/830.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,313 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/331.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,314 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/352.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,315 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/954.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,316 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/841.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,317 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/169.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,318 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,319 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/442.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,320 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/748.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,321 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/994.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,321 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/330.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,322 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/2.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,323 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/762.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,324 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/737.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,325 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/896.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,326 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/142.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,327 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/936.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,328 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/47.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,329 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/473.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,330 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/69.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,331 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/408.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,331 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/998.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,332 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/585.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,333 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/788.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,334 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/186.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,335 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/298.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,336 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/812.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,337 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/825.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,337 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/180.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,338 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/637.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,339 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/434.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,340 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/836.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,341 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/259.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,342 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/447.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,343 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/519.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,344 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/79.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,344 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/903.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,345 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/224.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,346 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/433.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,347 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/695.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,348 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/34.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,349 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/999.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,349 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/291.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,350 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/345.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,351 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/56.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,352 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/531.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,353 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/541.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,354 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/608.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,355 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/594.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,356 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/880.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,357 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/629.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,358 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/380.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,358 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/567.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,359 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/838.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,360 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/384.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,361 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_pmu.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,362 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/581.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,363 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/315.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,364 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/328.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,365 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/548.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,366 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/910.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,367 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/249.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,367 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/802.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,368 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/691.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,369 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/617.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,370 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/499.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,371 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/805.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,372 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/414.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,373 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/768.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,374 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/168.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,375 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/499.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,376 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/308.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,376 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/138.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,377 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/217.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,378 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/666.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,379 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/431.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,380 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/731.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,380 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/968.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,381 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/163.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,382 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/632.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,383 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/625.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,384 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/568.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,385 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/569.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,386 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/889.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,387 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/426.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,388 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/592.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,388 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_xics.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,389 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/957.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,390 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/467.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,391 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/38.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,392 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/961.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,393 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/211.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,393 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/690.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,394 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/726.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,395 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/383.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,396 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/64.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,397 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/202.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,398 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/55.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,399 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/252.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,400 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/311.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,401 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/29.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,402 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/176.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,402 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-03-06 23:02:52,403 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/modes/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-03-06 23:02:52,404 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/modes/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-03-06 23:02:52,405 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/modes/modes.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-03-06 23:02:52,405 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/modes/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-03-06 23:02:52,406 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/296.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,407 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/815.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,408 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/65.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,409 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/369.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,410 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/841.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,411 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/271.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,411 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/382.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,412 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/484.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,413 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/222.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,414 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/313.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,415 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/161.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,416 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/956.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,416 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/544.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,417 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/912.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,418 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/157.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,419 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/299.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,420 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/626.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,420 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/72.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,421 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/790.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,422 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/321.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,423 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/599.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,424 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/876.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,425 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/494.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,426 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/551.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,426 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/349.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,427 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/665.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,428 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/863.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,429 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/37.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,430 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/815.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,431 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/80.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,432 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/793.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,433 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/465.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,434 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/622.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,435 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/583.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,435 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/875.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,436 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/273.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,437 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/316.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,438 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/901.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,439 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/459.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,440 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/33.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,441 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/915.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,442 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/549.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,443 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/323.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,444 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/783.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,444 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/696.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,445 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/56.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,446 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/895.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,447 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/744.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,448 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/672.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,449 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/454.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,449 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/535.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,450 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/478.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,451 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/236.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,452 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/363.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,453 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/846.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,454 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/545.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,454 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/958.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,455 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/136.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,456 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/541.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,457 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/140.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,458 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/597.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,459 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/862.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,460 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/235.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,461 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/858.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,461 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/324.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,462 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/303.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,463 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/15.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,464 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/219.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,465 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/41.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,466 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/237.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,466 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/640.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,467 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/607.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,468 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/216.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,469 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/437.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,470 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/705.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,471 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/190.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,472 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/34.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,473 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/304.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,473 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/114.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,474 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/421.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,475 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/443.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,476 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/562.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,477 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/955.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,478 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/236.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,479 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/901.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,480 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/510.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,480 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/401.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,481 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/409.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,482 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/772.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,483 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/447.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,484 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/408.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,485 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/632.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,485 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/984.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,486 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/274.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,487 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/360.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,488 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/857.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,489 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/847.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,490 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/204.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,491 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/787.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,492 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/390.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,493 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/759.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,494 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/729.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,495 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/898.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,496 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/767.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,496 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/933.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,497 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/353.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,498 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/326.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,499 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/72.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,500 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/724.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,501 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/509.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,502 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/10.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,503 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/982.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,504 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/916.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,505 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/638.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,505 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/394.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,506 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/260.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,507 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/878.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,508 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/828.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,509 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/495.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,510 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/601.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,511 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/74.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,511 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/797.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,512 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/342.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,513 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/102.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,514 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/576.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,515 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/283.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,516 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/261.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,517 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/460.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,517 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_mmu.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,518 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/699.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,519 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/400.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,520 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/987.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,521 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/957.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,522 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/191.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,523 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/352.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,523 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/580.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,524 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/515.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,525 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/589.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,526 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/327.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,527 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/864.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,528 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/266.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,529 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/306.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,530 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/921.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,531 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/288.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,532 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/868.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,532 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/407.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,533 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/879.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,534 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/354.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,535 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/816.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,536 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/148.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,537 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/5.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,538 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/380.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,539 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/102.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,539 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/540.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,540 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/106.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,541 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/251.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,542 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/381.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,543 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/922.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,544 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-03-06 23:02:52,544 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer/decrementer.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-03-06 23:02:52,545 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-03-06 23:02:52,546 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-03-06 23:02:52,547 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-03-06 23:02:52,547 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/265.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,548 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/579.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,549 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/118.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,550 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/897.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,551 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/198.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,552 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/734.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,553 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/766.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,554 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/648.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,554 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/831.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,555 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/572.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,556 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/934.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,557 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/685.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,558 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/235.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,559 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/673.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,560 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/301.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,561 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/456.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,562 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/493.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,563 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/246.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,563 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/985.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,564 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/770.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,565 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/368.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,566 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/827.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,567 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-03-06 23:02:52,568 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation/reservation.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-03-06 23:02:52,568 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-03-06 23:02:52,569 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-03-06 23:02:52,570 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-03-06 23:02:52,571 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/887.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,571 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/25.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,573 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/449.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,574 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/486.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,575 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/400.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,575 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/752.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,576 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/215.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,577 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/167.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,578 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/419.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,579 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/715.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,580 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/947.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,581 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/785.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,581 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/180.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,582 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/122.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,583 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/816.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,584 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/558.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,585 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/184.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,586 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/112.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,587 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/579.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,588 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/670.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,589 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/710.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,590 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/418.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,590 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/864.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,591 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/568.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,592 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/757.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,593 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/981.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,594 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/8.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,595 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/71.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,596 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/113.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,597 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/544.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,598 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/853.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,598 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/147.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,599 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/670.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,600 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/566.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,601 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/718.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,602 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/57.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,603 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/832.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,604 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/237.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,605 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/573.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,606 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/865.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,607 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/627.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,608 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/358.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,609 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/798.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,609 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/32.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,610 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/50.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,611 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/861.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,612 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/786.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,613 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/169.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,614 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/598.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,615 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/749.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,616 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/48.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,617 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/851.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,618 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/771.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,618 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/88.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,619 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/48.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,620 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/264.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,621 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/507.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,622 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/15.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,623 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/402.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,624 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/750.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,625 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/166.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,626 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/874.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,626 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/261.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,627 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/60.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,628 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/937.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,629 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/884.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,630 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_sc.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,631 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/736.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,632 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/612.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,632 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/307.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,633 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/474.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,634 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/726.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,635 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/295.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,636 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/657.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,637 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/215.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,637 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/230.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,638 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/639.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,639 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/884.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,640 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/231.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,641 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/555.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,642 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/695.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,642 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/455.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,643 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/646.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,644 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/86.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,645 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/916.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,646 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/286.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,647 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/275.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,648 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/746.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,648 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/909.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,649 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/496.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,650 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/854.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,651 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/112.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,652 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/772.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,653 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/542.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,654 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/282.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,655 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/186.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,656 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/633.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,656 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/9.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,657 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/537.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,658 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/659.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,659 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/138.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,660 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/522.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,661 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/255.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,662 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/865.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,663 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/781.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,664 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/364.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,665 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/552.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,666 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/904.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,666 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/697.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,667 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/584.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,668 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/958.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,669 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/434.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,670 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/95.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,671 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/43.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,672 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/938.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,673 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/943.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,674 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/764.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,674 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/344.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,675 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/337.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,676 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/330.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,677 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/824.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,678 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/922.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,678 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/258.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,679 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/809.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,680 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/840.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,681 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/87.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,682 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/580.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,683 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/160.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,684 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/837.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,684 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/787.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,685 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/800.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,686 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/13.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,687 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/59.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,688 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/556.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,689 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/78.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,690 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/537.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,690 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/564.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,691 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/684.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,692 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/277.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,693 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/798.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,694 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/62.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,695 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/364.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,696 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/340.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,697 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/720.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,698 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/813.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,698 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/532.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,699 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-03-06 23:02:52,700 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-03-06 23:02:52,700 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-03-06 23:02:52,701 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal/illegal.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-03-06 23:02:52,702 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-03-06 23:02:52,703 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/825.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,704 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/421.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,705 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/439.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,706 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/44.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,707 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/412.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,707 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/256.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,708 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/127.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,709 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/973.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,710 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/781.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,711 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/155.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,712 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/979.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,713 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/220.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,714 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/293.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,714 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/1000.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,715 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/546.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,716 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/745.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,717 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/705.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,717 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/281.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,718 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/651.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,719 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/405.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,720 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/812.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,721 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/613.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,722 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/891.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,723 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/62.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,723 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/306.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,724 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/118.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,725 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/502.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,726 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_pmu.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,727 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/373.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,728 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/645.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,729 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/782.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,730 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/79.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,731 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/939.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,731 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/993.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,732 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/398.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,733 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/126.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,734 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/281.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,735 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/70.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,736 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/741.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,736 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/500.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,737 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/299.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,738 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/505.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,739 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/454.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,740 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/683.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,741 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/993.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,741 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/524.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,742 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/585.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,743 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/527.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,744 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/720.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,744 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/758.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,745 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/253.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,746 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/661.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,747 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/279.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,748 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/173.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,749 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/614.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,750 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/199.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,750 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/700.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,751 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/267.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,752 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/463.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,753 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/128.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,754 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/804.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,754 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/986.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,755 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/503.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,756 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/6.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,757 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/451.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,758 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/678.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,759 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/703.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,760 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/44.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,760 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/774.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,761 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/789.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,762 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/700.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,763 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/514.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,764 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/317.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,765 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/98.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,766 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/240.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,767 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/481.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,767 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/937.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,768 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/315.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,769 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/733.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,770 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/882.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,771 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/82.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,772 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/289.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,773 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/273.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,773 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/797.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,774 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/981.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,775 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/909.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,776 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/430.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,777 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/588.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,778 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/329.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,779 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/305.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,779 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/843.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,780 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/209.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,781 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/165.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,782 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/418.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,783 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/259.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,784 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/31.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,785 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/756.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,785 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/361.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,786 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/667.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,787 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/333.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,788 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/963.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,789 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/803.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,790 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/256.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,791 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/894.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,792 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/793.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,793 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/559.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,794 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/246.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,795 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/457.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,796 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/234.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,797 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/341.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,797 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/760.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,798 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/963.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,799 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/452.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,800 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/496.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,801 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/275.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,802 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/350.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,803 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/201.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,804 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/316.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,805 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/753.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,806 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/849.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,807 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/191.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,808 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/895.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,809 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/117.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,810 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/631.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,811 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/717.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,811 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/626.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,812 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/818.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,813 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/574.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,814 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/30.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,815 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/602.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,816 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/758.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,817 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/4.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,817 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/839.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,819 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/89.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,819 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/94.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,820 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/228.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,821 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/988.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,822 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/367.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,823 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/559.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,823 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/591.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,824 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/616.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,825 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/403.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,826 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/366.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,827 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/547.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,828 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/924.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,829 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/754.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,829 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/387.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,830 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/201.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,831 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/516.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,832 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/623.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,833 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/233.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,833 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/669.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,834 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/944.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,835 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/682.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,836 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/672.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,837 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/794.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,838 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/577.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,839 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/98.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,839 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/634.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,840 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/883.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,841 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/174.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,842 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/967.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,843 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/694.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,844 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/728.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,845 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/612.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,846 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/107.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,847 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/247.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,848 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/50.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,849 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/131.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,850 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/771.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,851 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/508.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,851 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/38.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,852 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/775.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,853 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/949.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,854 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/339.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,855 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/873.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,856 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/609.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,857 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/609.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,857 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/941.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,858 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/854.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,859 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/411.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,860 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/295.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,861 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/227.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,862 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/844.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,863 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/207.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,864 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/491.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,864 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/465.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,865 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/769.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,866 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/178.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,867 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/593.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,868 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/724.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,869 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/146.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,870 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,871 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/242.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,872 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/698.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,873 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/469.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,874 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/644.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,874 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/690.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,875 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/845.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,876 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/480.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,877 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/783.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,878 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/21.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,879 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/292.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,880 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/936.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,881 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/451.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,882 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/294.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,882 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/980.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,883 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/896.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,884 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_privileged.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,885 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/538.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,886 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/675.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,887 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/731.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,887 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/153.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,888 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/744.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,889 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/974.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,890 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/645.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,891 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/148.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,892 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_decrementer.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,893 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/914.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,894 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/782.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,895 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/741.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,896 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/218.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,897 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/925.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,897 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/698.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,898 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/823.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,899 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/622.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,900 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/3.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,901 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/765.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,902 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/345.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,903 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/522.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,904 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/915.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,905 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/26.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,906 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/347.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,907 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/763.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,907 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/424.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,908 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/653.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,909 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/45.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,910 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/543.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,911 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/531.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,912 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/664.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,913 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/520.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,914 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/10.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,915 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/321.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,916 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/498.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,916 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/347.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,917 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/654.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,918 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/144.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,919 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/515.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,919 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/503.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,920 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/229.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,921 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/639.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,922 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_mmu.console_out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,923 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/800.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,924 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/593.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,925 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/241.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,925 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/849.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,926 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/681.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,927 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/4.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,928 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/129.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,929 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/143.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,930 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/586.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,931 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/954.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,931 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/425.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,932 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/131.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,933 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/414.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,934 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/792.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,934 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/857.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,935 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/126.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,936 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/93.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,937 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/302.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,938 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/208.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,939 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/155.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,940 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/498.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,941 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/867.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,942 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/346.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,943 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_modes.metavalue -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,943 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/378.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,944 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/339.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,945 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/377.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,946 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/830.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,947 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/719.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,948 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/205.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,949 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/840.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,950 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/95.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,951 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/751.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,952 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/528.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,952 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/927.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,953 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/663.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,954 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/479.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,955 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/101.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,956 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/417.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,956 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/747.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,957 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/882.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,958 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/809.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,959 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/732.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,960 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/397.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,961 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/22.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,961 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/680.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,962 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/738.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,963 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/392.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,964 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/588.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,965 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/517.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,966 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/971.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,967 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/525.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,968 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/146.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,969 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-03-06 23:02:52,969 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias/branch_alias.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-03-06 23:02:52,970 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-03-06 23:02:52,971 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-03-06 23:02:52,972 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-03-06 23:02:52,972 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/22.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,973 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/721.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,974 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/624.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,975 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/440.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,976 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/19.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,977 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/469.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,978 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/652.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,978 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/978.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,979 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/770.out -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-03-06 23:02:52,980 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_jtag_socket.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:52,981 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/git.vhdl.in -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:52,981 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/icache.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:52,982 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dram_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:52,983 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:52,984 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core_debug.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:52,985 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,986 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/flash-arty -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,986 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-45F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,987 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t_openocd_v0.11.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,991 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5U-45F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,992 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7_openocd_v0.11.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,993 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-45F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,994 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-25F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,995 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-85F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,995 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5U-25F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,996 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t_openocd_v0.11.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,998 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/ecp5-evn.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,999 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-85F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:52,999 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,001 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5U-85F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,002 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-25F.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,002 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/jtagspi.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,003 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/olimex-arm-usb-tiny-h.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,004 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/genesys2.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,005 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/arty.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,005 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7k325t_openocd_v0.11.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,008 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,012 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t_openocd_v0.11.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,014 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,014 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t.bit -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,016 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/antmicro-artix-dc-scm.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,016 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7.cfg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-03-06 23:02:53,017 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:53,018 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src 2024-03-06 23:02:53,019 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src/lib.rs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src 2024-03-06 23:02:53,019 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo 2024-03-06 23:02:53,020 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo/config -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo 2024-03-06 23:02:53,021 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/Xargo.toml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:53,021 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:53,022 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:53,023 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:53,024 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/hello_world.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:53,024 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:53,025 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:53,026 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/Cargo.toml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:53,027 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-03-06 23:02:53,027 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/multiply-32s.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,028 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_vhpi_c.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,029 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/execute1.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,030 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,031 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/plrufn.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,032 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/media 2024-03-06 23:02:53,032 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/media/microwatt-title.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/media 2024-03-06 23:02:53,033 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/logical.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,034 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/helpers.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,035 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:53,035 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:53,036 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/powerpc.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:53,037 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/hello_world.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:53,037 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/hello_world.bin -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:53,038 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/hello_world.elf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:53,039 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/hello_world.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:53,040 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-03-06 23:02:53,041 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_16550_uart.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,042 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dmi_dtm_dummy.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,042 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/insn_helpers.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,043 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/crhelpers.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,044 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/multiply_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,045 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/nonrandom.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,045 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_bram_wrapper.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,046 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_pp_uart.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,047 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/constraints 2024-03-06 23:02:53,047 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/constraints/orange-crab-0.2.lpf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/constraints 2024-03-06 23:02:53,048 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/constraints/orange-crab.lpf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/constraints 2024-03-06 23:02:53,049 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/constraints/ecp5-evn.lpf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/constraints 2024-03-06 23:02:53,050 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth 2024-03-06 23:02:53,051 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated 2024-03-06 23:02:53,051 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video 2024-03-06 23:02:53,052 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video/liteeth_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video 2024-03-06 23:02:53,053 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty 2024-03-06 23:02:53,053 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty/liteeth_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty 2024-03-06 23:02:53,055 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2 2024-03-06 23:02:53,055 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2/liteeth_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2 2024-03-06 23:02:53,057 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/fusesoc-add-files.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth 2024-03-06 23:02:53,058 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-03-06 23:02:53,058 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src/wukong-v2.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-03-06 23:02:53,059 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src/arty.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-03-06 23:02:53,060 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src/nexys-video.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-03-06 23:02:53,060 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src/generate.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-03-06 23:02:53,061 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/liteeth.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/liteeth 2024-03-06 23:02:53,062 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/loadstore1.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,063 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/spi_rxtx.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,064 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/lib 2024-03-06 23:02:53,064 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/lib/console.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/lib 2024-03-06 23:02:53,065 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rotator_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,066 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/glibc_random_helpers.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,066 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dmi_dtm_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,067 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,068 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_vhpi_c.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,069 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/xilinx-mult-32s.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,069 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core_flash_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,070 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/foreign_random.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,071 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/decode2.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,072 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/syscon.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,073 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_debug_master.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,073 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_jtag_socket_c.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,074 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/verilator 2024-03-06 23:02:53,075 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/verilator/microwatt-verilator.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/verilator 2024-03-06 23:02:53,075 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/verilator/uart-verilator.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/verilator 2024-03-06 23:02:53,076 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sync_fifo.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,077 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/common.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,078 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dcache_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,079 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/xilinx-mult.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,079 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram 2024-03-06 23:02:53,080 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:53,081 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/fusesoc-add-files.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:53,081 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/wave_tb.gtkw -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:53,082 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/wave.opt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:53,083 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/sim_dram_verilate.mk -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:53,084 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:53,085 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/wave.gtkw -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:53,085 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/litedram-wrapper-l2.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:53,086 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram_c.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-03-06 23:02:53,087 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated 2024-03-06 23:02:53,088 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-03-06 23:02:53,088 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-03-06 23:02:53,089 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-03-06 23:02:53,091 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-03-06 23:02:53,092 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-03-06 23:02:53,093 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-03-06 23:02:53,093 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-03-06 23:02:53,096 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-03-06 23:02:53,097 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-03-06 23:02:53,097 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-03-06 23:02:53,098 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-03-06 23:02:53,101 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-03-06 23:02:53,102 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-03-06 23:02:53,102 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-03-06 23:02:53,103 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-03-06 23:02:53,105 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-03-06 23:02:53,106 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-03-06 23:02:53,106 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-03-06 23:02:53,107 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-03-06 23:02:53,109 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-03-06 23:02:53,110 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-03-06 23:02:53,111 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-03-06 23:02:53,112 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-03-06 23:02:53,114 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-03-06 23:02:53,115 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-03-06 23:02:53,116 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.init -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-03-06 23:02:53,117 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-03-06 23:02:53,119 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram-initmem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-03-06 23:02:53,119 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:53,120 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/acorn-cle-215.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:53,121 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/wukong-v2.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:53,122 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/arty.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:53,122 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/nexys-video.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:53,123 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-03-06 23:02:53,124 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc 2024-03-06 23:02:53,124 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,125 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strstr.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,126 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,127 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,127 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,128 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isdigit.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,129 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,130 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncasecmp.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,130 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isspace.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,131 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memchr.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,132 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtok.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,133 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcasecmp.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,134 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/tolower.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,134 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcat.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,135 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtoul.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,136 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/toupper.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,137 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtol.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,137 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncmp.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,138 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strchr.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,139 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/vsnprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,140 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,141 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isprint.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,141 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memset.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,142 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,143 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,144 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strrchr.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,144 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isxdigit.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-03-06 23:02:53,145 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:53,146 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/limits.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:53,147 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdio.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:53,147 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/assert.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:53,148 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdlib.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:53,149 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/string.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:53,150 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/errno.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:53,150 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdint.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:53,151 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/unistd.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:53,152 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/ctype.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:53,153 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/compiler.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-03-06 23:02:53,154 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/main.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-03-06 23:02:53,154 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-03-06 23:02:53,155 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf_common.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-03-06 23:02:53,156 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf64.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-03-06 23:02:53,157 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/system.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-03-06 23:02:53,157 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/bin2hex.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-03-06 23:02:53,158 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/sdram_init.lds.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-03-06 23:02:53,159 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/head.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-03-06 23:02:53,160 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-03-06 23:02:53,160 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/generate.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:53,161 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sim.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:53,162 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/genesys2.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:53,163 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/orangecrab-85-0.2.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:53,163 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/no-init-mem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:53,164 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/dram-init-mem.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-03-06 23:02:53,165 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/litedram.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/litedram 2024-03-06 23:02:53,166 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/divider_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,167 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/icache_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,167 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_console.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,168 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/microwatt.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,169 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/ppc_fx_insns.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,170 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/mmu.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,171 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,171 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core_dram_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,172 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/divider.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,173 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,174 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_console_c.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,174 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_bram.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,175 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,176 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_bram_helpers_c.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,177 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/gpio.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,177 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/pmu.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,178 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,179 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/bin2hex.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,179 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/vhdltags -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,180 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/run_test.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,181 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/make_version.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,182 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/test_micropython.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,183 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-03-06 23:02:53,183 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log/fmt_log.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-03-06 23:02:53,184 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-03-06 23:02:53,185 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-03-06 23:02:53,185 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug/mw_debug.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-03-06 23:02:53,186 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-03-06 23:02:53,187 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-03-06 23:02:53,188 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator_long.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,188 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/dependencies.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,189 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,190 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/make_version_fusesoc.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,191 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/test_micropython_long.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,191 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/gen_icache_tb.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,192 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/run_test_console.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-03-06 23:02:53,193 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/.github 2024-03-06 23:02:53,194 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/.github/workflows 2024-03-06 23:02:53,194 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/.github/workflows/test.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl/.github/workflows 2024-03-06 23:02:53,195 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core_tb.vhdl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt/vhdl 2024-03-06 23:02:53,196 root INFO copying build/lib/pythondata_cpu_microwatt/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt 2024-03-06 23:02:53,197 root INFO running install_egg_info 2024-03-06 23:02:53,215 root INFO Copying pythondata_cpu_microwatt.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt-0.0.post1409-py3.11.egg-info 2024-03-06 23:02:53,220 root INFO running install_scripts 2024-03-06 23:02:53,229 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_microwatt-0.0.post1409.dist-info/WHEEL 2024-03-06 23:02:53,232 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-zo1qxc80/pythondata_cpu_microwatt-0.0.post1409-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:02:53,235 wheel INFO adding 'pythondata_cpu_microwatt/__init__.py' 2024-03-06 23:02:53,239 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/.gitignore' 2024-03-06 23:02:53,240 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/LICENSE' 2024-03-06 23:02:53,242 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/Makefile' 2024-03-06 23:02:53,243 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/README.md' 2024-03-06 23:02:53,244 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/cache_ram.vhdl' 2024-03-06 23:02:53,245 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/common.vhdl' 2024-03-06 23:02:53,247 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/control.vhdl' 2024-03-06 23:02:53,248 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core.vhdl' 2024-03-06 23:02:53,250 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core_debug.vhdl' 2024-03-06 23:02:53,251 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core_dram_tb.vhdl' 2024-03-06 23:02:53,252 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core_flash_tb.vhdl' 2024-03-06 23:02:53,253 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core_tb.vhdl' 2024-03-06 23:02:53,254 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/countbits.vhdl' 2024-03-06 23:02:53,255 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/countbits_tb.vhdl' 2024-03-06 23:02:53,256 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/cr_file.vhdl' 2024-03-06 23:02:53,257 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/crhelpers.vhdl' 2024-03-06 23:02:53,258 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dcache.vhdl' 2024-03-06 23:02:53,260 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dcache_tb.vhdl' 2024-03-06 23:02:53,261 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/decode1.vhdl' 2024-03-06 23:02:53,263 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/decode2.vhdl' 2024-03-06 23:02:53,264 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/decode_types.vhdl' 2024-03-06 23:02:53,266 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/divider.vhdl' 2024-03-06 23:02:53,267 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/divider_tb.vhdl' 2024-03-06 23:02:53,268 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dmi_dtm_dummy.vhdl' 2024-03-06 23:02:53,269 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dmi_dtm_ecp5.vhdl' 2024-03-06 23:02:53,270 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dmi_dtm_tb.vhdl' 2024-03-06 23:02:53,271 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dmi_dtm_xilinx.vhdl' 2024-03-06 23:02:53,272 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dram_tb.vhdl' 2024-03-06 23:02:53,274 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/execute1.vhdl' 2024-03-06 23:02:53,276 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fetch1.vhdl' 2024-03-06 23:02:53,277 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/foreign_random.vhdl' 2024-03-06 23:02:53,278 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpu.vhdl' 2024-03-06 23:02:53,281 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/git.vhdl.in' 2024-03-06 23:02:53,282 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/glibc_random.vhdl' 2024-03-06 23:02:53,283 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/glibc_random_helpers.vhdl' 2024-03-06 23:02:53,284 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/gpio.vhdl' 2024-03-06 23:02:53,285 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/helpers.vhdl' 2024-03-06 23:02:53,286 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/icache.vhdl' 2024-03-06 23:02:53,288 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/icache_tb.vhdl' 2024-03-06 23:02:53,288 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/icache_test.bin' 2024-03-06 23:02:53,289 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/insn_helpers.vhdl' 2024-03-06 23:02:53,291 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/loadstore1.vhdl' 2024-03-06 23:02:53,292 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/logical.vhdl' 2024-03-06 23:02:53,293 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/microwatt.core' 2024-03-06 23:02:53,294 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/mmu.vhdl' 2024-03-06 23:02:53,296 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/multiply-32s.vhdl' 2024-03-06 23:02:53,297 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/multiply.vhdl' 2024-03-06 23:02:53,298 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/multiply_tb.vhdl' 2024-03-06 23:02:53,299 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/nonrandom.vhdl' 2024-03-06 23:02:53,300 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/plru_tb.vhdl' 2024-03-06 23:02:53,301 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/plrufn.vhdl' 2024-03-06 23:02:53,302 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/pmu.vhdl' 2024-03-06 23:02:53,303 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/ppc_fx_insns.vhdl' 2024-03-06 23:02:53,304 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/predecode.vhdl' 2024-03-06 23:02:53,306 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/random.vhdl' 2024-03-06 23:02:53,307 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/register_file.vhdl' 2024-03-06 23:02:53,308 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rotator.vhdl' 2024-03-06 23:02:53,309 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rotator_tb.vhdl' 2024-03-06 23:02:53,310 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/run.py' 2024-03-06 23:02:53,311 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_16550_uart.vhdl' 2024-03-06 23:02:53,312 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_bram.vhdl' 2024-03-06 23:02:53,313 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_bram_helpers.vhdl' 2024-03-06 23:02:53,314 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_bram_helpers_c.c' 2024-03-06 23:02:53,315 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_console.vhdl' 2024-03-06 23:02:53,316 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_console_c.c' 2024-03-06 23:02:53,317 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_jtag.vhdl' 2024-03-06 23:02:53,318 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_jtag_socket.vhdl' 2024-03-06 23:02:53,319 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_jtag_socket_c.c' 2024-03-06 23:02:53,320 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_no_flash.vhdl' 2024-03-06 23:02:53,321 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_pp_uart.vhdl' 2024-03-06 23:02:53,322 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_vhpi_c.c' 2024-03-06 23:02:53,323 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_vhpi_c.h' 2024-03-06 23:02:53,324 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/soc.vhdl' 2024-03-06 23:02:53,325 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/spi_flash_ctrl.vhdl' 2024-03-06 23:02:53,327 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/spi_rxtx.vhdl' 2024-03-06 23:02:53,328 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sync_fifo.vhdl' 2024-03-06 23:02:53,329 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/syscon.vhdl' 2024-03-06 23:02:53,330 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/utils.vhdl' 2024-03-06 23:02:53,331 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_arbiter.vhdl' 2024-03-06 23:02:53,332 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.bin' 2024-03-06 23:02:53,333 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.vhdl' 2024-03-06 23:02:53,334 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_bram_wrapper.vhdl' 2024-03-06 23:02:53,335 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_debug_master.vhdl' 2024-03-06 23:02:53,336 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_types.vhdl' 2024-03-06 23:02:53,337 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/writeback.vhdl' 2024-03-06 23:02:53,338 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/xics.vhdl' 2024-03-06 23:02:53,339 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/xilinx-mult-32s.vhdl' 2024-03-06 23:02:53,340 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/xilinx-mult.vhdl' 2024-03-06 23:02:53,342 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/.github/workflows/test.yml' 2024-03-06 23:02:53,343 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/constraints/ecp5-evn.lpf' 2024-03-06 23:02:53,344 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/constraints/orange-crab-0.2.lpf' 2024-03-06 23:02:53,345 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/constraints/orange-crab.lpf' 2024-03-06 23:02:53,346 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/LICENSE' 2024-03-06 23:02:53,347 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/acorn-cle-215.xdc' 2024-03-06 23:02:53,349 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/antmicro_artix_dc_scm.xdc' 2024-03-06 23:02:53,350 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/arty_a7.xdc' 2024-03-06 23:02:53,351 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/clk_gen_bypass.vhd' 2024-03-06 23:02:53,352 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/clk_gen_ecp5.vhd' 2024-03-06 23:02:53,353 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/clk_gen_mcmm.vhd' 2024-03-06 23:02:53,354 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/clk_gen_plle2.vhd' 2024-03-06 23:02:53,355 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/cmod_a7-35.xdc' 2024-03-06 23:02:53,358 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/firmware.hex' 2024-03-06 23:02:53,369 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/fpga-random.vhdl' 2024-03-06 23:02:53,370 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/fpga-random.xdc' 2024-03-06 23:02:53,371 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/genesys2.xdc' 2024-03-06 23:02:53,372 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/hello_world.hex' 2024-03-06 23:02:53,373 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/main_bram.vhdl' 2024-03-06 23:02:53,374 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/nexys-video.xdc' 2024-03-06 23:02:53,376 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/nexys_a7.xdc' 2024-03-06 23:02:53,376 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/pp_fifo.vhd' 2024-03-06 23:02:53,378 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/pp_soc_uart.vhd' 2024-03-06 23:02:53,379 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/pp_utilities.vhd' 2024-03-06 23:02:53,380 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/soc_reset.vhdl' 2024-03-06 23:02:53,381 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/soc_reset_tb.vhdl' 2024-03-06 23:02:53,382 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-acorn-cle-215.vhdl' 2024-03-06 23:02:53,383 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-antmicro-artix-dc-scm.vhdl' 2024-03-06 23:02:53,384 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-arty.vhdl' 2024-03-06 23:02:53,385 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-generic.vhdl' 2024-03-06 23:02:53,386 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-genesys2.vhdl' 2024-03-06 23:02:53,387 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-nexys-video.vhdl' 2024-03-06 23:02:53,389 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-orangecrab0.2.vhdl' 2024-03-06 23:02:53,390 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-wukong-v2.vhdl' 2024-03-06 23:02:53,391 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/wukong-v2.xdc' 2024-03-06 23:02:53,393 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/Makefile' 2024-03-06 23:02:53,394 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/head.S' 2024-03-06 23:02:53,395 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/hello_world.bin' 2024-03-06 23:02:53,396 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/hello_world.c' 2024-03-06 23:02:53,397 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/hello_world.elf' 2024-03-06 23:02:53,399 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/hello_world.hex' 2024-03-06 23:02:53,400 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/powerpc.lds' 2024-03-06 23:02:53,401 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/include/console.h' 2024-03-06 23:02:53,402 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/include/io.h' 2024-03-06 23:02:53,403 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/include/microwatt_soc.h' 2024-03-06 23:02:53,404 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/lib/console.c' 2024-03-06 23:02:53,406 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/litedram.core' 2024-03-06 23:02:53,407 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/fusesoc-add-files.py' 2024-03-06 23:02:53,408 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/litedram-wrapper-l2.vhdl' 2024-03-06 23:02:53,409 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/sim_dram_verilate.mk' 2024-03-06 23:02:53,410 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram.vhdl' 2024-03-06 23:02:53,412 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram_c.cpp' 2024-03-06 23:02:53,413 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/wave.gtkw' 2024-03-06 23:02:53,414 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/wave.opt' 2024-03-06 23:02:53,415 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/wave_tb.gtkw' 2024-03-06 23:02:53,416 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/acorn-cle-215.yml' 2024-03-06 23:02:53,417 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/arty.yml' 2024-03-06 23:02:53,418 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/dram-init-mem.vhdl' 2024-03-06 23:02:53,419 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/generate.py' 2024-03-06 23:02:53,420 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/genesys2.yml' 2024-03-06 23:02:53,421 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/nexys-video.yml' 2024-03-06 23:02:53,422 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/no-init-mem.vhdl' 2024-03-06 23:02:53,423 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/orangecrab-85-0.2.yml' 2024-03-06 23:02:53,424 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sim.yml' 2024-03-06 23:02:53,425 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/wukong-v2.yml' 2024-03-06 23:02:53,426 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/Makefile' 2024-03-06 23:02:53,427 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/bin2hex.py' 2024-03-06 23:02:53,428 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/head.S' 2024-03-06 23:02:53,429 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/main.c' 2024-03-06 23:02:53,430 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/sdram_init.lds.S' 2024-03-06 23:02:53,431 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf64.h' 2024-03-06 23:02:53,433 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf_common.h' 2024-03-06 23:02:53,434 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/system.h' 2024-03-06 23:02:53,435 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/assert.h' 2024-03-06 23:02:53,436 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/compiler.h' 2024-03-06 23:02:53,437 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/ctype.h' 2024-03-06 23:02:53,438 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/errno.h' 2024-03-06 23:02:53,439 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/limits.h' 2024-03-06 23:02:53,440 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdint.h' 2024-03-06 23:02:53,441 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdio.h' 2024-03-06 23:02:53,442 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdlib.h' 2024-03-06 23:02:53,443 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/string.h' 2024-03-06 23:02:53,444 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/unistd.h' 2024-03-06 23:02:53,445 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isdigit.c' 2024-03-06 23:02:53,446 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isprint.c' 2024-03-06 23:02:53,447 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isspace.c' 2024-03-06 23:02:53,448 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isxdigit.c' 2024-03-06 23:02:53,449 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memchr.c' 2024-03-06 23:02:53,450 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcmp.c' 2024-03-06 23:02:53,451 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcpy.c' 2024-03-06 23:02:53,452 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memmove.c' 2024-03-06 23:02:53,453 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memset.c' 2024-03-06 23:02:53,454 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcasecmp.c' 2024-03-06 23:02:53,455 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcat.c' 2024-03-06 23:02:53,456 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strchr.c' 2024-03-06 23:02:53,457 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcmp.c' 2024-03-06 23:02:53,458 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcpy.c' 2024-03-06 23:02:53,459 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strlen.c' 2024-03-06 23:02:53,460 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncasecmp.c' 2024-03-06 23:02:53,461 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncmp.c' 2024-03-06 23:02:53,462 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncpy.c' 2024-03-06 23:02:53,463 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strrchr.c' 2024-03-06 23:02:53,464 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strstr.c' 2024-03-06 23:02:53,465 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtok.c' 2024-03-06 23:02:53,465 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtol.c' 2024-03-06 23:02:53,466 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtoul.c' 2024-03-06 23:02:53,467 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/tolower.c' 2024-03-06 23:02:53,468 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/toupper.c' 2024-03-06 23:02:53,469 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/vsnprintf.c' 2024-03-06 23:02:53,471 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram-initmem.vhdl' 2024-03-06 23:02:53,472 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.init' 2024-03-06 23:02:53,475 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.v' 2024-03-06 23:02:53,484 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram-initmem.vhdl' 2024-03-06 23:02:53,485 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.init' 2024-03-06 23:02:53,488 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.v' 2024-03-06 23:02:53,498 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram-initmem.vhdl' 2024-03-06 23:02:53,499 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.init' 2024-03-06 23:02:53,502 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.v' 2024-03-06 23:02:53,514 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram-initmem.vhdl' 2024-03-06 23:02:53,515 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.init' 2024-03-06 23:02:53,518 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.v' 2024-03-06 23:02:53,527 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram-initmem.vhdl' 2024-03-06 23:02:53,528 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.init' 2024-03-06 23:02:53,531 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.v' 2024-03-06 23:02:53,539 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram-initmem.vhdl' 2024-03-06 23:02:53,540 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.init' 2024-03-06 23:02:53,543 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.v' 2024-03-06 23:02:53,552 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram-initmem.vhdl' 2024-03-06 23:02:53,553 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.init' 2024-03-06 23:02:53,556 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.v' 2024-03-06 23:02:53,565 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/fusesoc-add-files.py' 2024-03-06 23:02:53,566 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/liteeth.core' 2024-03-06 23:02:53,568 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/gen-src/arty.yml' 2024-03-06 23:02:53,568 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/gen-src/generate.sh' 2024-03-06 23:02:53,569 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/gen-src/nexys-video.yml' 2024-03-06 23:02:53,570 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/gen-src/wukong-v2.yml' 2024-03-06 23:02:53,572 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/generated/arty/liteeth_core.v' 2024-03-06 23:02:53,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video/liteeth_core.v' 2024-03-06 23:02:53,581 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2/liteeth_core.v' 2024-03-06 23:02:53,585 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/fusesoc-add-files.py' 2024-03-06 23:02:53,586 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/litesdcard.core' 2024-03-06 23:02:53,587 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/gen-src/generate.sh' 2024-03-06 23:02:53,589 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice/litesdcard_core.v' 2024-03-06 23:02:53,593 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx/litesdcard_core.v' 2024-03-06 23:02:53,597 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/media/microwatt-title.png' 2024-03-06 23:02:53,599 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/micropython/firmware.bin' 2024-03-06 23:02:53,609 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/micropython/firmware.elf' 2024-03-06 23:02:53,639 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/micropython/firmware.hex' 2024-03-06 23:02:53,647 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5U-25F.cfg' 2024-03-06 23:02:53,648 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5U-45F.cfg' 2024-03-06 23:02:53,649 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5U-85F.cfg' 2024-03-06 23:02:53,650 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-25F.cfg' 2024-03-06 23:02:53,651 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-45F.cfg' 2024-03-06 23:02:53,653 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-85F.cfg' 2024-03-06 23:02:53,654 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-25F.cfg' 2024-03-06 23:02:53,655 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-45F.cfg' 2024-03-06 23:02:53,656 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-85F.cfg' 2024-03-06 23:02:53,657 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/README' 2024-03-06 23:02:53,658 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/antmicro-artix-dc-scm.cfg' 2024-03-06 23:02:53,658 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/arty.cfg' 2024-03-06 23:02:53,660 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t.bit' 2024-03-06 23:02:53,668 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t_openocd_v0.11.bit' 2024-03-06 23:02:53,677 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t.bit' 2024-03-06 23:02:53,693 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t_openocd_v0.11.bit' 2024-03-06 23:02:53,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t.bit' 2024-03-06 23:02:53,712 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t_openocd_v0.11.bit' 2024-03-06 23:02:53,720 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7k325t_openocd_v0.11.bit' 2024-03-06 23:02:53,736 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/ecp5-evn.cfg' 2024-03-06 23:02:53,736 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/flash-arty' 2024-03-06 23:02:53,737 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/genesys2.cfg' 2024-03-06 23:02:53,738 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/jtagspi.cfg' 2024-03-06 23:02:53,739 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/olimex-arm-usb-tiny-h.cfg' 2024-03-06 23:02:53,740 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7.cfg' 2024-03-06 23:02:53,741 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7_openocd_v0.11.cfg' 2024-03-06 23:02:53,742 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/Cargo.toml' 2024-03-06 23:02:53,743 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/Makefile' 2024-03-06 23:02:53,744 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/README.md' 2024-03-06 23:02:53,745 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/Xargo.toml' 2024-03-06 23:02:53,746 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.c' 2024-03-06 23:02:53,747 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.h' 2024-03-06 23:02:53,748 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/head.S' 2024-03-06 23:02:53,749 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/hello_world.c' 2024-03-06 23:02:53,750 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/powerpc.lds' 2024-03-06 23:02:53,751 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo/config' 2024-03-06 23:02:53,752 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/src/lib.rs' 2024-03-06 23:02:53,753 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/bin2hex.py' 2024-03-06 23:02:53,754 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/dependencies.py' 2024-03-06 23:02:53,755 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/gen_icache_tb.py' 2024-03-06 23:02:53,756 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/make_version.sh' 2024-03-06 23:02:53,757 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/make_version_fusesoc.py' 2024-03-06 23:02:53,758 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/run_test.sh' 2024-03-06 23:02:53,759 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/run_test_console.sh' 2024-03-06 23:02:53,760 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/test_micropython.py' 2024-03-06 23:02:53,761 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/test_micropython_long.py' 2024-03-06 23:02:53,762 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator.py' 2024-03-06 23:02:53,763 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator_long.py' 2024-03-06 23:02:53,764 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/vhdltags' 2024-03-06 23:02:53,765 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/fmt_log/Makefile' 2024-03-06 23:02:53,766 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/fmt_log/fmt_log.c' 2024-03-06 23:02:53,767 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/mw_debug/Makefile' 2024-03-06 23:02:53,768 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/mw_debug/README' 2024-03-06 23:02:53,769 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/mw_debug/mw_debug.c' 2024-03-06 23:02:53,770 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim-unisim/BSCANE2.vhdl' 2024-03-06 23:02:53,771 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim-unisim/BUFG.vhdl' 2024-03-06 23:02:53,772 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim-unisim/unisim_vcomponents.vhdl' 2024-03-06 23:02:53,787 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/1.bin' 2024-03-06 23:02:53,789 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/1.out' 2024-03-06 23:02:53,791 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/10.bin' 2024-03-06 23:02:53,794 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/10.out' 2024-03-06 23:02:53,795 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/100.bin' 2024-03-06 23:02:53,798 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/100.out' 2024-03-06 23:02:53,799 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/1000.bin' 2024-03-06 23:02:53,802 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/1000.out' 2024-03-06 23:02:53,803 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/101.bin' 2024-03-06 23:02:53,806 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/101.out' 2024-03-06 23:02:53,807 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/102.bin' 2024-03-06 23:02:53,810 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/102.out' 2024-03-06 23:02:53,811 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/103.bin' 2024-03-06 23:02:53,814 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/103.out' 2024-03-06 23:02:53,815 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/104.bin' 2024-03-06 23:02:53,818 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/104.out' 2024-03-06 23:02:53,819 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/105.bin' 2024-03-06 23:02:53,822 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/105.out' 2024-03-06 23:02:53,823 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/106.bin' 2024-03-06 23:02:53,826 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/106.out' 2024-03-06 23:02:53,827 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/107.bin' 2024-03-06 23:02:53,830 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/107.out' 2024-03-06 23:02:53,831 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/108.bin' 2024-03-06 23:02:53,834 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/108.out' 2024-03-06 23:02:53,836 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/109.bin' 2024-03-06 23:02:53,838 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/109.out' 2024-03-06 23:02:53,840 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/11.bin' 2024-03-06 23:02:53,842 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/11.out' 2024-03-06 23:02:53,844 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/110.bin' 2024-03-06 23:02:53,846 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/110.out' 2024-03-06 23:02:53,848 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/111.bin' 2024-03-06 23:02:53,850 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/111.out' 2024-03-06 23:02:53,852 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/112.bin' 2024-03-06 23:02:53,854 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/112.out' 2024-03-06 23:02:53,856 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/113.bin' 2024-03-06 23:02:53,859 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/113.out' 2024-03-06 23:02:53,860 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/114.bin' 2024-03-06 23:02:53,863 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/114.out' 2024-03-06 23:02:53,864 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/115.bin' 2024-03-06 23:02:53,867 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/115.out' 2024-03-06 23:02:53,868 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/116.bin' 2024-03-06 23:02:53,871 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/116.out' 2024-03-06 23:02:53,872 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/117.bin' 2024-03-06 23:02:53,875 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/117.out' 2024-03-06 23:02:53,876 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/118.bin' 2024-03-06 23:02:53,879 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/118.out' 2024-03-06 23:02:53,880 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/119.bin' 2024-03-06 23:02:53,883 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/119.out' 2024-03-06 23:02:53,885 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/12.bin' 2024-03-06 23:02:53,887 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/12.out' 2024-03-06 23:02:53,889 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/120.bin' 2024-03-06 23:02:53,891 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/120.out' 2024-03-06 23:02:53,893 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/121.bin' 2024-03-06 23:02:53,896 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/121.out' 2024-03-06 23:02:53,897 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/122.bin' 2024-03-06 23:02:53,900 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/122.out' 2024-03-06 23:02:53,901 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/123.bin' 2024-03-06 23:02:53,904 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/123.out' 2024-03-06 23:02:53,905 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/124.bin' 2024-03-06 23:02:53,908 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/124.out' 2024-03-06 23:02:53,909 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/125.bin' 2024-03-06 23:02:53,912 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/125.out' 2024-03-06 23:02:53,913 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/126.bin' 2024-03-06 23:02:53,916 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/126.out' 2024-03-06 23:02:53,917 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/127.bin' 2024-03-06 23:02:53,920 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/127.out' 2024-03-06 23:02:53,921 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/128.bin' 2024-03-06 23:02:53,924 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/128.out' 2024-03-06 23:02:53,925 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/129.bin' 2024-03-06 23:02:53,928 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/129.out' 2024-03-06 23:02:53,929 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/13.bin' 2024-03-06 23:02:53,932 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/13.out' 2024-03-06 23:02:53,933 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/130.bin' 2024-03-06 23:02:53,936 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/130.out' 2024-03-06 23:02:53,937 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/131.bin' 2024-03-06 23:02:53,940 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/131.out' 2024-03-06 23:02:53,941 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/132.bin' 2024-03-06 23:02:53,944 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/132.out' 2024-03-06 23:02:53,945 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/133.bin' 2024-03-06 23:02:53,948 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/133.out' 2024-03-06 23:02:53,950 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/134.bin' 2024-03-06 23:02:53,952 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/134.out' 2024-03-06 23:02:53,954 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/135.bin' 2024-03-06 23:02:53,956 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/135.out' 2024-03-06 23:02:53,958 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/136.bin' 2024-03-06 23:02:53,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/136.out' 2024-03-06 23:02:53,962 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/137.bin' 2024-03-06 23:02:53,964 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/137.out' 2024-03-06 23:02:53,966 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/138.bin' 2024-03-06 23:02:53,968 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/138.out' 2024-03-06 23:02:53,970 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/139.bin' 2024-03-06 23:02:53,973 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/139.out' 2024-03-06 23:02:53,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/14.bin' 2024-03-06 23:02:53,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/14.out' 2024-03-06 23:02:53,978 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/140.bin' 2024-03-06 23:02:53,981 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/140.out' 2024-03-06 23:02:53,982 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/141.bin' 2024-03-06 23:02:53,985 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/141.out' 2024-03-06 23:02:53,986 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/142.bin' 2024-03-06 23:02:53,989 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/142.out' 2024-03-06 23:02:53,990 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/143.bin' 2024-03-06 23:02:53,993 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/143.out' 2024-03-06 23:02:53,994 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/144.bin' 2024-03-06 23:02:53,997 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/144.out' 2024-03-06 23:02:53,998 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/145.bin' 2024-03-06 23:02:54,001 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/145.out' 2024-03-06 23:02:54,002 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/146.bin' 2024-03-06 23:02:54,005 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/146.out' 2024-03-06 23:02:54,006 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/147.bin' 2024-03-06 23:02:54,009 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/147.out' 2024-03-06 23:02:54,010 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/148.bin' 2024-03-06 23:02:54,013 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/148.out' 2024-03-06 23:02:54,014 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/149.bin' 2024-03-06 23:02:54,017 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/149.out' 2024-03-06 23:02:54,019 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/15.bin' 2024-03-06 23:02:54,021 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/15.out' 2024-03-06 23:02:54,023 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/150.bin' 2024-03-06 23:02:54,025 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/150.out' 2024-03-06 23:02:54,027 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/151.bin' 2024-03-06 23:02:54,029 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/151.out' 2024-03-06 23:02:54,031 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/152.bin' 2024-03-06 23:02:54,033 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/152.out' 2024-03-06 23:02:54,035 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/153.bin' 2024-03-06 23:02:54,037 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/153.out' 2024-03-06 23:02:54,039 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/154.bin' 2024-03-06 23:02:54,042 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/154.out' 2024-03-06 23:02:54,043 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/155.bin' 2024-03-06 23:02:54,046 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/155.out' 2024-03-06 23:02:54,047 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/156.bin' 2024-03-06 23:02:54,050 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/156.out' 2024-03-06 23:02:54,051 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/157.bin' 2024-03-06 23:02:54,054 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/157.out' 2024-03-06 23:02:54,055 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/158.bin' 2024-03-06 23:02:54,058 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/158.out' 2024-03-06 23:02:54,059 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/159.bin' 2024-03-06 23:02:54,062 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/159.out' 2024-03-06 23:02:54,063 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/16.bin' 2024-03-06 23:02:54,066 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/16.out' 2024-03-06 23:02:54,067 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/160.bin' 2024-03-06 23:02:54,070 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/160.out' 2024-03-06 23:02:54,071 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/161.bin' 2024-03-06 23:02:54,074 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/161.out' 2024-03-06 23:02:54,075 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/162.bin' 2024-03-06 23:02:54,078 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/162.out' 2024-03-06 23:02:54,079 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/163.bin' 2024-03-06 23:02:54,082 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/163.out' 2024-03-06 23:02:54,084 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/164.bin' 2024-03-06 23:02:54,086 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/164.out' 2024-03-06 23:02:54,088 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/165.bin' 2024-03-06 23:02:54,090 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/165.out' 2024-03-06 23:02:54,092 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/166.bin' 2024-03-06 23:02:54,094 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/166.out' 2024-03-06 23:02:54,096 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/167.bin' 2024-03-06 23:02:54,099 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/167.out' 2024-03-06 23:02:54,100 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/168.bin' 2024-03-06 23:02:54,103 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/168.out' 2024-03-06 23:02:54,104 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/169.bin' 2024-03-06 23:02:54,107 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/169.out' 2024-03-06 23:02:54,108 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/17.bin' 2024-03-06 23:02:54,111 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/17.out' 2024-03-06 23:02:54,112 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/170.bin' 2024-03-06 23:02:54,115 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/170.out' 2024-03-06 23:02:54,116 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/171.bin' 2024-03-06 23:02:54,119 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/171.out' 2024-03-06 23:02:54,120 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/172.bin' 2024-03-06 23:02:54,123 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/172.out' 2024-03-06 23:02:54,124 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/173.bin' 2024-03-06 23:02:54,127 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/173.out' 2024-03-06 23:02:54,128 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/174.bin' 2024-03-06 23:02:54,131 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/174.out' 2024-03-06 23:02:54,132 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/175.bin' 2024-03-06 23:02:54,135 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/175.out' 2024-03-06 23:02:54,137 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/176.bin' 2024-03-06 23:02:54,139 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/176.out' 2024-03-06 23:02:54,141 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/177.bin' 2024-03-06 23:02:54,143 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/177.out' 2024-03-06 23:02:54,145 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/178.bin' 2024-03-06 23:02:54,147 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/178.out' 2024-03-06 23:02:54,149 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/179.bin' 2024-03-06 23:02:54,151 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/179.out' 2024-03-06 23:02:54,153 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/18.bin' 2024-03-06 23:02:54,155 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/18.out' 2024-03-06 23:02:54,157 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/180.bin' 2024-03-06 23:02:54,160 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/180.out' 2024-03-06 23:02:54,161 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/181.bin' 2024-03-06 23:02:54,164 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/181.out' 2024-03-06 23:02:54,165 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/182.bin' 2024-03-06 23:02:54,168 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/182.out' 2024-03-06 23:02:54,169 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/183.bin' 2024-03-06 23:02:54,172 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/183.out' 2024-03-06 23:02:54,173 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/184.bin' 2024-03-06 23:02:54,176 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/184.out' 2024-03-06 23:02:54,177 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/185.bin' 2024-03-06 23:02:54,180 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/185.out' 2024-03-06 23:02:54,181 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/186.bin' 2024-03-06 23:02:54,184 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/186.out' 2024-03-06 23:02:54,185 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/187.bin' 2024-03-06 23:02:54,188 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/187.out' 2024-03-06 23:02:54,189 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/188.bin' 2024-03-06 23:02:54,192 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/188.out' 2024-03-06 23:02:54,193 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/189.bin' 2024-03-06 23:02:54,196 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/189.out' 2024-03-06 23:02:54,197 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/19.bin' 2024-03-06 23:02:54,200 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/19.out' 2024-03-06 23:02:54,201 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/190.bin' 2024-03-06 23:02:54,204 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/190.out' 2024-03-06 23:02:54,205 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/191.bin' 2024-03-06 23:02:54,208 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/191.out' 2024-03-06 23:02:54,210 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/192.bin' 2024-03-06 23:02:54,212 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/192.out' 2024-03-06 23:02:54,214 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/193.bin' 2024-03-06 23:02:54,216 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/193.out' 2024-03-06 23:02:54,218 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/194.bin' 2024-03-06 23:02:54,220 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/194.out' 2024-03-06 23:02:54,222 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/195.bin' 2024-03-06 23:02:54,225 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/195.out' 2024-03-06 23:02:54,226 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/196.bin' 2024-03-06 23:02:54,229 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/196.out' 2024-03-06 23:02:54,230 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/197.bin' 2024-03-06 23:02:54,233 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/197.out' 2024-03-06 23:02:54,234 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/198.bin' 2024-03-06 23:02:54,237 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/198.out' 2024-03-06 23:02:54,238 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/199.bin' 2024-03-06 23:02:54,241 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/199.out' 2024-03-06 23:02:54,242 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/2.bin' 2024-03-06 23:02:54,245 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/2.out' 2024-03-06 23:02:54,246 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/20.bin' 2024-03-06 23:02:54,249 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/20.out' 2024-03-06 23:02:54,250 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/200.bin' 2024-03-06 23:02:54,253 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/200.out' 2024-03-06 23:02:54,254 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/201.bin' 2024-03-06 23:02:54,257 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/201.out' 2024-03-06 23:02:54,258 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/202.bin' 2024-03-06 23:02:54,261 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/202.out' 2024-03-06 23:02:54,263 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/203.bin' 2024-03-06 23:02:54,265 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/203.out' 2024-03-06 23:02:54,267 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/204.bin' 2024-03-06 23:02:54,270 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/204.out' 2024-03-06 23:02:54,271 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/205.bin' 2024-03-06 23:02:54,274 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/205.out' 2024-03-06 23:02:54,275 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/206.bin' 2024-03-06 23:02:54,278 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/206.out' 2024-03-06 23:02:54,279 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/207.bin' 2024-03-06 23:02:54,282 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/207.out' 2024-03-06 23:02:54,283 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/208.bin' 2024-03-06 23:02:54,286 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/208.out' 2024-03-06 23:02:54,287 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/209.bin' 2024-03-06 23:02:54,290 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/209.out' 2024-03-06 23:02:54,291 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/21.bin' 2024-03-06 23:02:54,294 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/21.out' 2024-03-06 23:02:54,295 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/210.bin' 2024-03-06 23:02:54,298 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/210.out' 2024-03-06 23:02:54,299 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/211.bin' 2024-03-06 23:02:54,302 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/211.out' 2024-03-06 23:02:54,303 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/212.bin' 2024-03-06 23:02:54,306 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/212.out' 2024-03-06 23:02:54,307 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/213.bin' 2024-03-06 23:02:54,310 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/213.out' 2024-03-06 23:02:54,311 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/214.bin' 2024-03-06 23:02:54,314 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/214.out' 2024-03-06 23:02:54,315 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/215.bin' 2024-03-06 23:02:54,318 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/215.out' 2024-03-06 23:02:54,319 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/216.bin' 2024-03-06 23:02:54,322 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/216.out' 2024-03-06 23:02:54,323 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/217.bin' 2024-03-06 23:02:54,326 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/217.out' 2024-03-06 23:02:54,328 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/218.bin' 2024-03-06 23:02:54,330 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/218.out' 2024-03-06 23:02:54,332 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/219.bin' 2024-03-06 23:02:54,334 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/219.out' 2024-03-06 23:02:54,336 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/22.bin' 2024-03-06 23:02:54,338 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/22.out' 2024-03-06 23:02:54,340 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/220.bin' 2024-03-06 23:02:54,343 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/220.out' 2024-03-06 23:02:54,344 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/221.bin' 2024-03-06 23:02:54,347 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/221.out' 2024-03-06 23:02:54,348 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/222.bin' 2024-03-06 23:02:54,351 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/222.out' 2024-03-06 23:02:54,352 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/223.bin' 2024-03-06 23:02:54,355 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/223.out' 2024-03-06 23:02:54,356 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/224.bin' 2024-03-06 23:02:54,359 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/224.out' 2024-03-06 23:02:54,360 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/225.bin' 2024-03-06 23:02:54,363 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/225.out' 2024-03-06 23:02:54,364 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/226.bin' 2024-03-06 23:02:54,367 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/226.out' 2024-03-06 23:02:54,368 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/227.bin' 2024-03-06 23:02:54,371 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/227.out' 2024-03-06 23:02:54,372 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/228.bin' 2024-03-06 23:02:54,375 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/228.out' 2024-03-06 23:02:54,376 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/229.bin' 2024-03-06 23:02:54,379 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/229.out' 2024-03-06 23:02:54,380 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/23.bin' 2024-03-06 23:02:54,384 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/23.out' 2024-03-06 23:02:54,386 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/230.bin' 2024-03-06 23:02:54,388 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/230.out' 2024-03-06 23:02:54,390 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/231.bin' 2024-03-06 23:02:54,392 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/231.out' 2024-03-06 23:02:54,394 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/232.bin' 2024-03-06 23:02:54,396 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/232.out' 2024-03-06 23:02:54,398 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/233.bin' 2024-03-06 23:02:54,400 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/233.out' 2024-03-06 23:02:54,402 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/234.bin' 2024-03-06 23:02:54,404 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/234.out' 2024-03-06 23:02:54,406 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/235.bin' 2024-03-06 23:02:54,409 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/235.out' 2024-03-06 23:02:54,410 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/236.bin' 2024-03-06 23:02:54,413 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/236.out' 2024-03-06 23:02:54,414 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/237.bin' 2024-03-06 23:02:54,417 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/237.out' 2024-03-06 23:02:54,418 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/238.bin' 2024-03-06 23:02:54,421 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/238.out' 2024-03-06 23:02:54,422 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/239.bin' 2024-03-06 23:02:54,425 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/239.out' 2024-03-06 23:02:54,426 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/24.bin' 2024-03-06 23:02:54,429 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/24.out' 2024-03-06 23:02:54,430 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/240.bin' 2024-03-06 23:02:54,433 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/240.out' 2024-03-06 23:02:54,434 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/241.bin' 2024-03-06 23:02:54,437 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/241.out' 2024-03-06 23:02:54,438 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/242.bin' 2024-03-06 23:02:54,441 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/242.out' 2024-03-06 23:02:54,442 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/243.bin' 2024-03-06 23:02:54,445 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/243.out' 2024-03-06 23:02:54,446 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/244.bin' 2024-03-06 23:02:54,449 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/244.out' 2024-03-06 23:02:54,450 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/245.bin' 2024-03-06 23:02:54,453 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/245.out' 2024-03-06 23:02:54,454 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/246.bin' 2024-03-06 23:02:54,457 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/246.out' 2024-03-06 23:02:54,458 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/247.bin' 2024-03-06 23:02:54,461 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/247.out' 2024-03-06 23:02:54,462 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/248.bin' 2024-03-06 23:02:54,465 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/248.out' 2024-03-06 23:02:54,466 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/249.bin' 2024-03-06 23:02:54,469 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/249.out' 2024-03-06 23:02:54,470 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/25.bin' 2024-03-06 23:02:54,473 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/25.out' 2024-03-06 23:02:54,475 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/250.bin' 2024-03-06 23:02:54,477 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/250.out' 2024-03-06 23:02:54,479 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/251.bin' 2024-03-06 23:02:54,481 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/251.out' 2024-03-06 23:02:54,483 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/252.bin' 2024-03-06 23:02:54,485 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/252.out' 2024-03-06 23:02:54,487 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/253.bin' 2024-03-06 23:02:54,489 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/253.out' 2024-03-06 23:02:54,491 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/254.bin' 2024-03-06 23:02:54,493 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/254.out' 2024-03-06 23:02:54,495 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/255.bin' 2024-03-06 23:02:54,497 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/255.out' 2024-03-06 23:02:54,499 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/256.bin' 2024-03-06 23:02:54,501 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/256.out' 2024-03-06 23:02:54,503 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/257.bin' 2024-03-06 23:02:54,505 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/257.out' 2024-03-06 23:02:54,507 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/258.bin' 2024-03-06 23:02:54,510 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/258.out' 2024-03-06 23:02:54,511 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/259.bin' 2024-03-06 23:02:54,514 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/259.out' 2024-03-06 23:02:54,515 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/26.bin' 2024-03-06 23:02:54,518 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/26.out' 2024-03-06 23:02:54,519 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/260.bin' 2024-03-06 23:02:54,522 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/260.out' 2024-03-06 23:02:54,523 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/261.bin' 2024-03-06 23:02:54,526 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/261.out' 2024-03-06 23:02:54,527 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/262.bin' 2024-03-06 23:02:54,530 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/262.out' 2024-03-06 23:02:54,531 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/263.bin' 2024-03-06 23:02:54,534 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/263.out' 2024-03-06 23:02:54,535 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/264.bin' 2024-03-06 23:02:54,538 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/264.out' 2024-03-06 23:02:54,539 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/265.bin' 2024-03-06 23:02:54,542 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/265.out' 2024-03-06 23:02:54,543 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/266.bin' 2024-03-06 23:02:54,546 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/266.out' 2024-03-06 23:02:54,547 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/267.bin' 2024-03-06 23:02:54,550 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/267.out' 2024-03-06 23:02:54,551 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/268.bin' 2024-03-06 23:02:54,554 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/268.out' 2024-03-06 23:02:54,555 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/269.bin' 2024-03-06 23:02:54,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/269.out' 2024-03-06 23:02:54,559 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/27.bin' 2024-03-06 23:02:54,562 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/27.out' 2024-03-06 23:02:54,563 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/270.bin' 2024-03-06 23:02:54,566 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/270.out' 2024-03-06 23:02:54,567 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/271.bin' 2024-03-06 23:02:54,570 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/271.out' 2024-03-06 23:02:54,571 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/272.bin' 2024-03-06 23:02:54,574 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/272.out' 2024-03-06 23:02:54,575 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/273.bin' 2024-03-06 23:02:54,578 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/273.out' 2024-03-06 23:02:54,580 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/274.bin' 2024-03-06 23:02:54,582 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/274.out' 2024-03-06 23:02:54,584 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/275.bin' 2024-03-06 23:02:54,586 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/275.out' 2024-03-06 23:02:54,588 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/276.bin' 2024-03-06 23:02:54,590 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/276.out' 2024-03-06 23:02:54,592 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/277.bin' 2024-03-06 23:02:54,594 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/277.out' 2024-03-06 23:02:54,596 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/278.bin' 2024-03-06 23:02:54,598 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/278.out' 2024-03-06 23:02:54,600 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/279.bin' 2024-03-06 23:02:54,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/279.out' 2024-03-06 23:02:54,604 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/28.bin' 2024-03-06 23:02:54,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/28.out' 2024-03-06 23:02:54,608 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/280.bin' 2024-03-06 23:02:54,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/280.out' 2024-03-06 23:02:54,612 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/281.bin' 2024-03-06 23:02:54,615 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/281.out' 2024-03-06 23:02:54,616 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/282.bin' 2024-03-06 23:02:54,619 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/282.out' 2024-03-06 23:02:54,620 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/283.bin' 2024-03-06 23:02:54,623 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/283.out' 2024-03-06 23:02:54,624 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/284.bin' 2024-03-06 23:02:54,627 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/284.out' 2024-03-06 23:02:54,628 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/285.bin' 2024-03-06 23:02:54,631 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/285.out' 2024-03-06 23:02:54,632 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/286.bin' 2024-03-06 23:02:54,635 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/286.out' 2024-03-06 23:02:54,636 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/287.bin' 2024-03-06 23:02:54,639 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/287.out' 2024-03-06 23:02:54,640 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/288.bin' 2024-03-06 23:02:54,643 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/288.out' 2024-03-06 23:02:54,644 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/289.bin' 2024-03-06 23:02:54,647 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/289.out' 2024-03-06 23:02:54,648 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/29.bin' 2024-03-06 23:02:54,651 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/29.out' 2024-03-06 23:02:54,653 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/290.bin' 2024-03-06 23:02:54,655 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/290.out' 2024-03-06 23:02:54,657 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/291.bin' 2024-03-06 23:02:54,659 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/291.out' 2024-03-06 23:02:54,661 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/292.bin' 2024-03-06 23:02:54,663 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/292.out' 2024-03-06 23:02:54,665 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/293.bin' 2024-03-06 23:02:54,667 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/293.out' 2024-03-06 23:02:54,669 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/294.bin' 2024-03-06 23:02:54,672 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/294.out' 2024-03-06 23:02:54,673 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/295.bin' 2024-03-06 23:02:54,676 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/295.out' 2024-03-06 23:02:54,677 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/296.bin' 2024-03-06 23:02:54,680 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/296.out' 2024-03-06 23:02:54,681 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/297.bin' 2024-03-06 23:02:54,684 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/297.out' 2024-03-06 23:02:54,685 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/298.bin' 2024-03-06 23:02:54,688 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/298.out' 2024-03-06 23:02:54,689 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/299.bin' 2024-03-06 23:02:54,692 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/299.out' 2024-03-06 23:02:54,693 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/3.bin' 2024-03-06 23:02:54,696 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/3.out' 2024-03-06 23:02:54,697 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/30.bin' 2024-03-06 23:02:54,700 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/30.out' 2024-03-06 23:02:54,701 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/300.bin' 2024-03-06 23:02:54,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/300.out' 2024-03-06 23:02:54,705 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/301.bin' 2024-03-06 23:02:54,708 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/301.out' 2024-03-06 23:02:54,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/302.bin' 2024-03-06 23:02:54,712 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/302.out' 2024-03-06 23:02:54,713 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/303.bin' 2024-03-06 23:02:54,716 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/303.out' 2024-03-06 23:02:54,717 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/304.bin' 2024-03-06 23:02:54,720 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/304.out' 2024-03-06 23:02:54,722 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/305.bin' 2024-03-06 23:02:54,724 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/305.out' 2024-03-06 23:02:54,726 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/306.bin' 2024-03-06 23:02:54,728 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/306.out' 2024-03-06 23:02:54,730 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/307.bin' 2024-03-06 23:02:54,732 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/307.out' 2024-03-06 23:02:54,734 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/308.bin' 2024-03-06 23:02:54,736 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/308.out' 2024-03-06 23:02:54,738 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/309.bin' 2024-03-06 23:02:54,740 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/309.out' 2024-03-06 23:02:54,742 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/31.bin' 2024-03-06 23:02:54,745 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/31.out' 2024-03-06 23:02:54,746 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/310.bin' 2024-03-06 23:02:54,749 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/310.out' 2024-03-06 23:02:54,750 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/311.bin' 2024-03-06 23:02:54,753 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/311.out' 2024-03-06 23:02:54,754 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/312.bin' 2024-03-06 23:02:54,757 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/312.out' 2024-03-06 23:02:54,758 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/313.bin' 2024-03-06 23:02:54,761 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/313.out' 2024-03-06 23:02:54,762 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/314.bin' 2024-03-06 23:02:54,765 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/314.out' 2024-03-06 23:02:54,766 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/315.bin' 2024-03-06 23:02:54,769 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/315.out' 2024-03-06 23:02:54,770 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/316.bin' 2024-03-06 23:02:54,773 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/316.out' 2024-03-06 23:02:54,774 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/317.bin' 2024-03-06 23:02:54,777 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/317.out' 2024-03-06 23:02:54,779 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/318.bin' 2024-03-06 23:02:54,781 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/318.out' 2024-03-06 23:02:54,783 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/319.bin' 2024-03-06 23:02:54,785 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/319.out' 2024-03-06 23:02:54,787 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/32.bin' 2024-03-06 23:02:54,789 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/32.out' 2024-03-06 23:02:54,791 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/320.bin' 2024-03-06 23:02:54,793 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/320.out' 2024-03-06 23:02:54,795 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/321.bin' 2024-03-06 23:02:54,797 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/321.out' 2024-03-06 23:02:54,799 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/322.bin' 2024-03-06 23:02:54,801 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/322.out' 2024-03-06 23:02:54,803 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/323.bin' 2024-03-06 23:02:54,805 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/323.out' 2024-03-06 23:02:54,807 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/324.bin' 2024-03-06 23:02:54,810 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/324.out' 2024-03-06 23:02:54,811 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/325.bin' 2024-03-06 23:02:54,814 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/325.out' 2024-03-06 23:02:54,815 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/326.bin' 2024-03-06 23:02:54,818 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/326.out' 2024-03-06 23:02:54,819 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/327.bin' 2024-03-06 23:02:54,822 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/327.out' 2024-03-06 23:02:54,823 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/328.bin' 2024-03-06 23:02:54,826 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/328.out' 2024-03-06 23:02:54,827 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/329.bin' 2024-03-06 23:02:54,830 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/329.out' 2024-03-06 23:02:54,831 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/33.bin' 2024-03-06 23:02:54,834 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/33.out' 2024-03-06 23:02:54,836 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/330.bin' 2024-03-06 23:02:54,838 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/330.out' 2024-03-06 23:02:54,840 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/331.bin' 2024-03-06 23:02:54,842 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/331.out' 2024-03-06 23:02:54,844 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/332.bin' 2024-03-06 23:02:54,846 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/332.out' 2024-03-06 23:02:54,848 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/333.bin' 2024-03-06 23:02:54,851 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/333.out' 2024-03-06 23:02:54,852 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/334.bin' 2024-03-06 23:02:54,855 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/334.out' 2024-03-06 23:02:54,856 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/335.bin' 2024-03-06 23:02:54,859 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/335.out' 2024-03-06 23:02:54,860 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/336.bin' 2024-03-06 23:02:54,863 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/336.out' 2024-03-06 23:02:54,864 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/337.bin' 2024-03-06 23:02:54,867 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/337.out' 2024-03-06 23:02:54,868 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/338.bin' 2024-03-06 23:02:54,871 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/338.out' 2024-03-06 23:02:54,872 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/339.bin' 2024-03-06 23:02:54,875 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/339.out' 2024-03-06 23:02:54,876 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/34.bin' 2024-03-06 23:02:54,879 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/34.out' 2024-03-06 23:02:54,880 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/340.bin' 2024-03-06 23:02:54,883 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/340.out' 2024-03-06 23:02:54,884 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/341.bin' 2024-03-06 23:02:54,887 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/341.out' 2024-03-06 23:02:54,888 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/342.bin' 2024-03-06 23:02:54,891 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/342.out' 2024-03-06 23:02:54,892 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/343.bin' 2024-03-06 23:02:54,895 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/343.out' 2024-03-06 23:02:54,896 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/344.bin' 2024-03-06 23:02:54,899 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/344.out' 2024-03-06 23:02:54,900 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/345.bin' 2024-03-06 23:02:54,903 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/345.out' 2024-03-06 23:02:54,905 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/346.bin' 2024-03-06 23:02:54,907 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/346.out' 2024-03-06 23:02:54,909 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/347.bin' 2024-03-06 23:02:54,911 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/347.out' 2024-03-06 23:02:54,913 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/348.bin' 2024-03-06 23:02:54,915 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/348.out' 2024-03-06 23:02:54,917 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/349.bin' 2024-03-06 23:02:54,919 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/349.out' 2024-03-06 23:02:54,921 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/35.bin' 2024-03-06 23:02:54,923 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/35.out' 2024-03-06 23:02:54,925 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/350.bin' 2024-03-06 23:02:54,927 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/350.out' 2024-03-06 23:02:54,929 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/351.bin' 2024-03-06 23:02:54,931 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/351.out' 2024-03-06 23:02:54,933 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/352.bin' 2024-03-06 23:02:54,936 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/352.out' 2024-03-06 23:02:54,937 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/353.bin' 2024-03-06 23:02:54,940 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/353.out' 2024-03-06 23:02:54,941 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/354.bin' 2024-03-06 23:02:54,944 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/354.out' 2024-03-06 23:02:54,945 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/355.bin' 2024-03-06 23:02:54,948 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/355.out' 2024-03-06 23:02:54,949 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/356.bin' 2024-03-06 23:02:54,952 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/356.out' 2024-03-06 23:02:54,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/357.bin' 2024-03-06 23:02:54,956 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/357.out' 2024-03-06 23:02:54,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/358.bin' 2024-03-06 23:02:54,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/358.out' 2024-03-06 23:02:54,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/359.bin' 2024-03-06 23:02:54,964 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/359.out' 2024-03-06 23:02:54,965 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/36.bin' 2024-03-06 23:02:54,968 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/36.out' 2024-03-06 23:02:54,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/360.bin' 2024-03-06 23:02:54,972 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/360.out' 2024-03-06 23:02:54,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/361.bin' 2024-03-06 23:02:54,976 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/361.out' 2024-03-06 23:02:54,978 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/362.bin' 2024-03-06 23:02:54,980 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/362.out' 2024-03-06 23:02:54,982 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/363.bin' 2024-03-06 23:02:54,984 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/363.out' 2024-03-06 23:02:54,986 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/364.bin' 2024-03-06 23:02:54,988 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/364.out' 2024-03-06 23:02:54,990 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/365.bin' 2024-03-06 23:02:54,993 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/365.out' 2024-03-06 23:02:54,997 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/366.bin' 2024-03-06 23:02:55,000 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/366.out' 2024-03-06 23:02:55,001 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/367.bin' 2024-03-06 23:02:55,004 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/367.out' 2024-03-06 23:02:55,005 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/368.bin' 2024-03-06 23:02:55,008 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/368.out' 2024-03-06 23:02:55,009 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/369.bin' 2024-03-06 23:02:55,012 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/369.out' 2024-03-06 23:02:55,013 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/37.bin' 2024-03-06 23:02:55,016 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/37.out' 2024-03-06 23:02:55,017 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/370.bin' 2024-03-06 23:02:55,020 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/370.out' 2024-03-06 23:02:55,021 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/371.bin' 2024-03-06 23:02:55,024 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/371.out' 2024-03-06 23:02:55,025 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/372.bin' 2024-03-06 23:02:55,028 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/372.out' 2024-03-06 23:02:55,029 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/373.bin' 2024-03-06 23:02:55,032 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/373.out' 2024-03-06 23:02:55,033 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/374.bin' 2024-03-06 23:02:55,036 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/374.out' 2024-03-06 23:02:55,038 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/375.bin' 2024-03-06 23:02:55,040 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/375.out' 2024-03-06 23:02:55,042 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/376.bin' 2024-03-06 23:02:55,045 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/376.out' 2024-03-06 23:02:55,046 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/377.bin' 2024-03-06 23:02:55,049 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/377.out' 2024-03-06 23:02:55,050 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/378.bin' 2024-03-06 23:02:55,053 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/378.out' 2024-03-06 23:02:55,054 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/379.bin' 2024-03-06 23:02:55,057 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/379.out' 2024-03-06 23:02:55,058 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/38.bin' 2024-03-06 23:02:55,061 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/38.out' 2024-03-06 23:02:55,062 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/380.bin' 2024-03-06 23:02:55,065 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/380.out' 2024-03-06 23:02:55,067 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/381.bin' 2024-03-06 23:02:55,069 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/381.out' 2024-03-06 23:02:55,071 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/382.bin' 2024-03-06 23:02:55,073 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/382.out' 2024-03-06 23:02:55,075 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/383.bin' 2024-03-06 23:02:55,077 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/383.out' 2024-03-06 23:02:55,079 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/384.bin' 2024-03-06 23:02:55,082 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/384.out' 2024-03-06 23:02:55,083 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/385.bin' 2024-03-06 23:02:55,086 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/385.out' 2024-03-06 23:02:55,091 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/386.bin' 2024-03-06 23:02:55,094 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/386.out' 2024-03-06 23:02:55,095 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/387.bin' 2024-03-06 23:02:55,098 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/387.out' 2024-03-06 23:02:55,099 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/388.bin' 2024-03-06 23:02:55,102 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/388.out' 2024-03-06 23:02:55,103 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/389.bin' 2024-03-06 23:02:55,106 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/389.out' 2024-03-06 23:02:55,107 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/39.bin' 2024-03-06 23:02:55,110 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/39.out' 2024-03-06 23:02:55,112 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/390.bin' 2024-03-06 23:02:55,114 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/390.out' 2024-03-06 23:02:55,116 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/391.bin' 2024-03-06 23:02:55,118 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/391.out' 2024-03-06 23:02:55,120 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/392.bin' 2024-03-06 23:02:55,122 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/392.out' 2024-03-06 23:02:55,124 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/393.bin' 2024-03-06 23:02:55,126 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/393.out' 2024-03-06 23:02:55,128 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/394.bin' 2024-03-06 23:02:55,131 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/394.out' 2024-03-06 23:02:55,132 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/395.bin' 2024-03-06 23:02:55,135 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/395.out' 2024-03-06 23:02:55,136 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/396.bin' 2024-03-06 23:02:55,139 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/396.out' 2024-03-06 23:02:55,140 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/397.bin' 2024-03-06 23:02:55,143 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/397.out' 2024-03-06 23:02:55,144 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/398.bin' 2024-03-06 23:02:55,147 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/398.out' 2024-03-06 23:02:55,148 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/399.bin' 2024-03-06 23:02:55,151 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/399.out' 2024-03-06 23:02:55,152 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/4.bin' 2024-03-06 23:02:55,155 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/4.out' 2024-03-06 23:02:55,156 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/40.bin' 2024-03-06 23:02:55,159 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/40.out' 2024-03-06 23:02:55,160 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/400.bin' 2024-03-06 23:02:55,163 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/400.out' 2024-03-06 23:02:55,164 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/401.bin' 2024-03-06 23:02:55,167 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/401.out' 2024-03-06 23:02:55,169 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/402.bin' 2024-03-06 23:02:55,171 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/402.out' 2024-03-06 23:02:55,173 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/403.bin' 2024-03-06 23:02:55,175 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/403.out' 2024-03-06 23:02:55,177 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/404.bin' 2024-03-06 23:02:55,179 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/404.out' 2024-03-06 23:02:55,181 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/405.bin' 2024-03-06 23:02:55,184 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/405.out' 2024-03-06 23:02:55,185 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/406.bin' 2024-03-06 23:02:55,188 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/406.out' 2024-03-06 23:02:55,189 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/407.bin' 2024-03-06 23:02:55,192 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/407.out' 2024-03-06 23:02:55,193 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/408.bin' 2024-03-06 23:02:55,196 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/408.out' 2024-03-06 23:02:55,197 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/409.bin' 2024-03-06 23:02:55,200 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/409.out' 2024-03-06 23:02:55,201 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/41.bin' 2024-03-06 23:02:55,204 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/41.out' 2024-03-06 23:02:55,205 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/410.bin' 2024-03-06 23:02:55,208 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/410.out' 2024-03-06 23:02:55,209 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/411.bin' 2024-03-06 23:02:55,212 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/411.out' 2024-03-06 23:02:55,213 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/412.bin' 2024-03-06 23:02:55,216 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/412.out' 2024-03-06 23:02:55,217 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/413.bin' 2024-03-06 23:02:55,220 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/413.out' 2024-03-06 23:02:55,221 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/414.bin' 2024-03-06 23:02:55,224 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/414.out' 2024-03-06 23:02:55,225 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/415.bin' 2024-03-06 23:02:55,228 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/415.out' 2024-03-06 23:02:55,229 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/416.bin' 2024-03-06 23:02:55,232 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/416.out' 2024-03-06 23:02:55,234 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/417.bin' 2024-03-06 23:02:55,236 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/417.out' 2024-03-06 23:02:55,238 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/418.bin' 2024-03-06 23:02:55,240 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/418.out' 2024-03-06 23:02:55,242 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/419.bin' 2024-03-06 23:02:55,244 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/419.out' 2024-03-06 23:02:55,246 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/42.bin' 2024-03-06 23:02:55,248 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/42.out' 2024-03-06 23:02:55,250 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/420.bin' 2024-03-06 23:02:55,253 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/420.out' 2024-03-06 23:02:55,254 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/421.bin' 2024-03-06 23:02:55,257 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/421.out' 2024-03-06 23:02:55,258 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/422.bin' 2024-03-06 23:02:55,261 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/422.out' 2024-03-06 23:02:55,262 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/423.bin' 2024-03-06 23:02:55,265 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/423.out' 2024-03-06 23:02:55,266 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/424.bin' 2024-03-06 23:02:55,269 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/424.out' 2024-03-06 23:02:55,270 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/425.bin' 2024-03-06 23:02:55,273 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/425.out' 2024-03-06 23:02:55,274 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/426.bin' 2024-03-06 23:02:55,277 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/426.out' 2024-03-06 23:02:55,278 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/427.bin' 2024-03-06 23:02:55,281 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/427.out' 2024-03-06 23:02:55,282 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/428.bin' 2024-03-06 23:02:55,285 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/428.out' 2024-03-06 23:02:55,286 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/429.bin' 2024-03-06 23:02:55,289 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/429.out' 2024-03-06 23:02:55,290 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/43.bin' 2024-03-06 23:02:55,293 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/43.out' 2024-03-06 23:02:55,295 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/430.bin' 2024-03-06 23:02:55,297 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/430.out' 2024-03-06 23:02:55,299 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/431.bin' 2024-03-06 23:02:55,301 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/431.out' 2024-03-06 23:02:55,303 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/432.bin' 2024-03-06 23:02:55,305 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/432.out' 2024-03-06 23:02:55,307 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/433.bin' 2024-03-06 23:02:55,310 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/433.out' 2024-03-06 23:02:55,311 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/434.bin' 2024-03-06 23:02:55,314 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/434.out' 2024-03-06 23:02:55,315 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/435.bin' 2024-03-06 23:02:55,318 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/435.out' 2024-03-06 23:02:55,319 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/436.bin' 2024-03-06 23:02:55,322 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/436.out' 2024-03-06 23:02:55,323 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/437.bin' 2024-03-06 23:02:55,326 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/437.out' 2024-03-06 23:02:55,327 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/438.bin' 2024-03-06 23:02:55,330 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/438.out' 2024-03-06 23:02:55,331 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/439.bin' 2024-03-06 23:02:55,334 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/439.out' 2024-03-06 23:02:55,335 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/44.bin' 2024-03-06 23:02:55,338 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/44.out' 2024-03-06 23:02:55,339 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/440.bin' 2024-03-06 23:02:55,342 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/440.out' 2024-03-06 23:02:55,343 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/441.bin' 2024-03-06 23:02:55,346 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/441.out' 2024-03-06 23:02:55,347 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/442.bin' 2024-03-06 23:02:55,350 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/442.out' 2024-03-06 23:02:55,351 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/443.bin' 2024-03-06 23:02:55,354 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/443.out' 2024-03-06 23:02:55,355 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/444.bin' 2024-03-06 23:02:55,358 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/444.out' 2024-03-06 23:02:55,359 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/445.bin' 2024-03-06 23:02:55,362 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/445.out' 2024-03-06 23:02:55,363 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/446.bin' 2024-03-06 23:02:55,366 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/446.out' 2024-03-06 23:02:55,368 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/447.bin' 2024-03-06 23:02:55,370 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/447.out' 2024-03-06 23:02:55,372 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/448.bin' 2024-03-06 23:02:55,374 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/448.out' 2024-03-06 23:02:55,376 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/449.bin' 2024-03-06 23:02:55,378 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/449.out' 2024-03-06 23:02:55,380 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/45.bin' 2024-03-06 23:02:55,382 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/45.out' 2024-03-06 23:02:55,384 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/450.bin' 2024-03-06 23:02:55,386 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/450.out' 2024-03-06 23:02:55,388 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/451.bin' 2024-03-06 23:02:55,390 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/451.out' 2024-03-06 23:02:55,392 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/452.bin' 2024-03-06 23:02:55,394 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/452.out' 2024-03-06 23:02:55,396 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/453.bin' 2024-03-06 23:02:55,399 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/453.out' 2024-03-06 23:02:55,400 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/454.bin' 2024-03-06 23:02:55,403 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/454.out' 2024-03-06 23:02:55,404 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/455.bin' 2024-03-06 23:02:55,407 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/455.out' 2024-03-06 23:02:55,408 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/456.bin' 2024-03-06 23:02:55,411 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/456.out' 2024-03-06 23:02:55,412 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/457.bin' 2024-03-06 23:02:55,415 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/457.out' 2024-03-06 23:02:55,416 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/458.bin' 2024-03-06 23:02:55,419 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/458.out' 2024-03-06 23:02:55,420 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/459.bin' 2024-03-06 23:02:55,423 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/459.out' 2024-03-06 23:02:55,424 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/46.bin' 2024-03-06 23:02:55,427 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/46.out' 2024-03-06 23:02:55,428 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/460.bin' 2024-03-06 23:02:55,431 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/460.out' 2024-03-06 23:02:55,432 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/461.bin' 2024-03-06 23:02:55,435 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/461.out' 2024-03-06 23:02:55,436 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/462.bin' 2024-03-06 23:02:55,439 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/462.out' 2024-03-06 23:02:55,440 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/463.bin' 2024-03-06 23:02:55,443 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/463.out' 2024-03-06 23:02:55,444 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/464.bin' 2024-03-06 23:02:55,447 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/464.out' 2024-03-06 23:02:55,449 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/465.bin' 2024-03-06 23:02:55,451 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/465.out' 2024-03-06 23:02:55,453 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/466.bin' 2024-03-06 23:02:55,456 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/466.out' 2024-03-06 23:02:55,457 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/467.bin' 2024-03-06 23:02:55,460 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/467.out' 2024-03-06 23:02:55,461 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/468.bin' 2024-03-06 23:02:55,464 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/468.out' 2024-03-06 23:02:55,465 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/469.bin' 2024-03-06 23:02:55,468 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/469.out' 2024-03-06 23:02:55,469 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/47.bin' 2024-03-06 23:02:55,472 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/47.out' 2024-03-06 23:02:55,473 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/470.bin' 2024-03-06 23:02:55,476 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/470.out' 2024-03-06 23:02:55,477 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/471.bin' 2024-03-06 23:02:55,480 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/471.out' 2024-03-06 23:02:55,481 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/472.bin' 2024-03-06 23:02:55,484 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/472.out' 2024-03-06 23:02:55,485 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/473.bin' 2024-03-06 23:02:55,488 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/473.out' 2024-03-06 23:02:55,489 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/474.bin' 2024-03-06 23:02:55,492 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/474.out' 2024-03-06 23:02:55,493 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/475.bin' 2024-03-06 23:02:55,496 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/475.out' 2024-03-06 23:02:55,497 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/476.bin' 2024-03-06 23:02:55,500 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/476.out' 2024-03-06 23:02:55,501 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/477.bin' 2024-03-06 23:02:55,504 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/477.out' 2024-03-06 23:02:55,505 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/478.bin' 2024-03-06 23:02:55,508 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/478.out' 2024-03-06 23:02:55,510 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/479.bin' 2024-03-06 23:02:55,512 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/479.out' 2024-03-06 23:02:55,514 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/48.bin' 2024-03-06 23:02:55,516 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/48.out' 2024-03-06 23:02:55,518 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/480.bin' 2024-03-06 23:02:55,520 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/480.out' 2024-03-06 23:02:55,522 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/481.bin' 2024-03-06 23:02:55,524 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/481.out' 2024-03-06 23:02:55,526 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/482.bin' 2024-03-06 23:02:55,528 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/482.out' 2024-03-06 23:02:55,530 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/483.bin' 2024-03-06 23:02:55,533 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/483.out' 2024-03-06 23:02:55,534 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/484.bin' 2024-03-06 23:02:55,537 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/484.out' 2024-03-06 23:02:55,538 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/485.bin' 2024-03-06 23:02:55,541 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/485.out' 2024-03-06 23:02:55,542 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/486.bin' 2024-03-06 23:02:55,545 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/486.out' 2024-03-06 23:02:55,546 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/487.bin' 2024-03-06 23:02:55,549 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/487.out' 2024-03-06 23:02:55,550 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/488.bin' 2024-03-06 23:02:55,553 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/488.out' 2024-03-06 23:02:55,554 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/489.bin' 2024-03-06 23:02:55,557 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/489.out' 2024-03-06 23:02:55,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/49.bin' 2024-03-06 23:02:55,561 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/49.out' 2024-03-06 23:02:55,562 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/490.bin' 2024-03-06 23:02:55,565 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/490.out' 2024-03-06 23:02:55,566 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/491.bin' 2024-03-06 23:02:55,569 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/491.out' 2024-03-06 23:02:55,570 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/492.bin' 2024-03-06 23:02:55,573 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/492.out' 2024-03-06 23:02:55,574 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/493.bin' 2024-03-06 23:02:55,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/493.out' 2024-03-06 23:02:55,578 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/494.bin' 2024-03-06 23:02:55,581 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/494.out' 2024-03-06 23:02:55,582 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/495.bin' 2024-03-06 23:02:55,585 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/495.out' 2024-03-06 23:02:55,587 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/496.bin' 2024-03-06 23:02:55,589 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/496.out' 2024-03-06 23:02:55,591 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/497.bin' 2024-03-06 23:02:55,593 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/497.out' 2024-03-06 23:02:55,595 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/498.bin' 2024-03-06 23:02:55,598 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/498.out' 2024-03-06 23:02:55,599 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/499.bin' 2024-03-06 23:02:55,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/499.out' 2024-03-06 23:02:55,603 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/5.bin' 2024-03-06 23:02:55,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/5.out' 2024-03-06 23:02:55,607 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/50.bin' 2024-03-06 23:02:55,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/50.out' 2024-03-06 23:02:55,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/500.bin' 2024-03-06 23:02:55,614 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/500.out' 2024-03-06 23:02:55,615 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/501.bin' 2024-03-06 23:02:55,618 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/501.out' 2024-03-06 23:02:55,619 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/502.bin' 2024-03-06 23:02:55,622 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/502.out' 2024-03-06 23:02:55,623 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/503.bin' 2024-03-06 23:02:55,626 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/503.out' 2024-03-06 23:02:55,627 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/504.bin' 2024-03-06 23:02:55,630 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/504.out' 2024-03-06 23:02:55,631 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/505.bin' 2024-03-06 23:02:55,634 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/505.out' 2024-03-06 23:02:55,635 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/506.bin' 2024-03-06 23:02:55,638 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/506.out' 2024-03-06 23:02:55,639 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/507.bin' 2024-03-06 23:02:55,642 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/507.out' 2024-03-06 23:02:55,643 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/508.bin' 2024-03-06 23:02:55,646 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/508.out' 2024-03-06 23:02:55,647 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/509.bin' 2024-03-06 23:02:55,650 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/509.out' 2024-03-06 23:02:55,652 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/51.bin' 2024-03-06 23:02:55,654 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/51.out' 2024-03-06 23:02:55,656 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/510.bin' 2024-03-06 23:02:55,658 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/510.out' 2024-03-06 23:02:55,660 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/511.bin' 2024-03-06 23:02:55,662 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/511.out' 2024-03-06 23:02:55,664 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/512.bin' 2024-03-06 23:02:55,666 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/512.out' 2024-03-06 23:02:55,668 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/513.bin' 2024-03-06 23:02:55,670 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/513.out' 2024-03-06 23:02:55,672 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/514.bin' 2024-03-06 23:02:55,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/514.out' 2024-03-06 23:02:55,676 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/515.bin' 2024-03-06 23:02:55,679 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/515.out' 2024-03-06 23:02:55,680 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/516.bin' 2024-03-06 23:02:55,683 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/516.out' 2024-03-06 23:02:55,684 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/517.bin' 2024-03-06 23:02:55,687 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/517.out' 2024-03-06 23:02:55,688 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/518.bin' 2024-03-06 23:02:55,691 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/518.out' 2024-03-06 23:02:55,692 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/519.bin' 2024-03-06 23:02:55,695 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/519.out' 2024-03-06 23:02:55,696 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/52.bin' 2024-03-06 23:02:55,699 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/52.out' 2024-03-06 23:02:55,700 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/520.bin' 2024-03-06 23:02:55,703 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/520.out' 2024-03-06 23:02:55,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/521.bin' 2024-03-06 23:02:55,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/521.out' 2024-03-06 23:02:55,708 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/522.bin' 2024-03-06 23:02:55,711 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/522.out' 2024-03-06 23:02:55,712 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/523.bin' 2024-03-06 23:02:55,715 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/523.out' 2024-03-06 23:02:55,716 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/524.bin' 2024-03-06 23:02:55,719 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/524.out' 2024-03-06 23:02:55,721 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/525.bin' 2024-03-06 23:02:55,723 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/525.out' 2024-03-06 23:02:55,725 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/526.bin' 2024-03-06 23:02:55,727 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/526.out' 2024-03-06 23:02:55,729 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/527.bin' 2024-03-06 23:02:55,731 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/527.out' 2024-03-06 23:02:55,733 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/528.bin' 2024-03-06 23:02:55,735 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/528.out' 2024-03-06 23:02:55,737 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/529.bin' 2024-03-06 23:02:55,739 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/529.out' 2024-03-06 23:02:55,741 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/53.bin' 2024-03-06 23:02:55,743 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/53.out' 2024-03-06 23:02:55,745 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/530.bin' 2024-03-06 23:02:55,747 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/530.out' 2024-03-06 23:02:55,749 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/531.bin' 2024-03-06 23:02:55,752 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/531.out' 2024-03-06 23:02:55,753 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/532.bin' 2024-03-06 23:02:55,756 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/532.out' 2024-03-06 23:02:55,757 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/533.bin' 2024-03-06 23:02:55,760 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/533.out' 2024-03-06 23:02:55,761 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/534.bin' 2024-03-06 23:02:55,764 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/534.out' 2024-03-06 23:02:55,765 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/535.bin' 2024-03-06 23:02:55,768 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/535.out' 2024-03-06 23:02:55,769 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/536.bin' 2024-03-06 23:02:55,772 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/536.out' 2024-03-06 23:02:55,773 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/537.bin' 2024-03-06 23:02:55,776 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/537.out' 2024-03-06 23:02:55,777 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/538.bin' 2024-03-06 23:02:55,780 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/538.out' 2024-03-06 23:02:55,781 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/539.bin' 2024-03-06 23:02:55,784 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/539.out' 2024-03-06 23:02:55,785 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/54.bin' 2024-03-06 23:02:55,788 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/54.out' 2024-03-06 23:02:55,789 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/540.bin' 2024-03-06 23:02:55,793 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/540.out' 2024-03-06 23:02:55,794 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/541.bin' 2024-03-06 23:02:55,797 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/541.out' 2024-03-06 23:02:55,798 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/542.bin' 2024-03-06 23:02:55,801 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/542.out' 2024-03-06 23:02:55,802 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/543.bin' 2024-03-06 23:02:55,805 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/543.out' 2024-03-06 23:02:55,806 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/544.bin' 2024-03-06 23:02:55,809 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/544.out' 2024-03-06 23:02:55,810 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/545.bin' 2024-03-06 23:02:55,813 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/545.out' 2024-03-06 23:02:55,815 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/546.bin' 2024-03-06 23:02:55,817 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/546.out' 2024-03-06 23:02:55,819 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/547.bin' 2024-03-06 23:02:55,821 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/547.out' 2024-03-06 23:02:55,823 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/548.bin' 2024-03-06 23:02:55,825 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/548.out' 2024-03-06 23:02:55,827 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/549.bin' 2024-03-06 23:02:55,829 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/549.out' 2024-03-06 23:02:55,831 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/55.bin' 2024-03-06 23:02:55,833 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/55.out' 2024-03-06 23:02:55,835 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/550.bin' 2024-03-06 23:02:55,837 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/550.out' 2024-03-06 23:02:55,839 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/551.bin' 2024-03-06 23:02:55,841 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/551.out' 2024-03-06 23:02:55,843 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/552.bin' 2024-03-06 23:02:55,846 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/552.out' 2024-03-06 23:02:55,847 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/553.bin' 2024-03-06 23:02:55,850 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/553.out' 2024-03-06 23:02:55,851 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/554.bin' 2024-03-06 23:02:55,854 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/554.out' 2024-03-06 23:02:55,855 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/555.bin' 2024-03-06 23:02:55,858 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/555.out' 2024-03-06 23:02:55,859 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/556.bin' 2024-03-06 23:02:55,862 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/556.out' 2024-03-06 23:02:55,863 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/557.bin' 2024-03-06 23:02:55,866 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/557.out' 2024-03-06 23:02:55,867 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/558.bin' 2024-03-06 23:02:55,870 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/558.out' 2024-03-06 23:02:55,871 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/559.bin' 2024-03-06 23:02:55,874 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/559.out' 2024-03-06 23:02:55,875 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/56.bin' 2024-03-06 23:02:55,878 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/56.out' 2024-03-06 23:02:55,880 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/560.bin' 2024-03-06 23:02:55,882 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/560.out' 2024-03-06 23:02:55,884 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/561.bin' 2024-03-06 23:02:55,886 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/561.out' 2024-03-06 23:02:55,888 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/562.bin' 2024-03-06 23:02:55,891 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/562.out' 2024-03-06 23:02:55,892 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/563.bin' 2024-03-06 23:02:55,895 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/563.out' 2024-03-06 23:02:55,896 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/564.bin' 2024-03-06 23:02:55,899 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/564.out' 2024-03-06 23:02:55,900 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/565.bin' 2024-03-06 23:02:55,903 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/565.out' 2024-03-06 23:02:55,904 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/566.bin' 2024-03-06 23:02:55,907 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/566.out' 2024-03-06 23:02:55,908 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/567.bin' 2024-03-06 23:02:55,911 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/567.out' 2024-03-06 23:02:55,912 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/568.bin' 2024-03-06 23:02:55,915 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/568.out' 2024-03-06 23:02:55,916 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/569.bin' 2024-03-06 23:02:55,919 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/569.out' 2024-03-06 23:02:55,920 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/57.bin' 2024-03-06 23:02:55,923 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/57.out' 2024-03-06 23:02:55,924 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/570.bin' 2024-03-06 23:02:55,927 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/570.out' 2024-03-06 23:02:55,928 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/571.bin' 2024-03-06 23:02:55,931 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/571.out' 2024-03-06 23:02:55,932 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/572.bin' 2024-03-06 23:02:55,935 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/572.out' 2024-03-06 23:02:55,936 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/573.bin' 2024-03-06 23:02:55,939 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/573.out' 2024-03-06 23:02:55,941 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/574.bin' 2024-03-06 23:02:55,943 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/574.out' 2024-03-06 23:02:55,945 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/575.bin' 2024-03-06 23:02:55,947 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/575.out' 2024-03-06 23:02:55,949 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/576.bin' 2024-03-06 23:02:55,951 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/576.out' 2024-03-06 23:02:55,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/577.bin' 2024-03-06 23:02:55,955 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/577.out' 2024-03-06 23:02:55,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/578.bin' 2024-03-06 23:02:55,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/578.out' 2024-03-06 23:02:55,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/579.bin' 2024-03-06 23:02:55,964 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/579.out' 2024-03-06 23:02:55,965 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/58.bin' 2024-03-06 23:02:55,968 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/58.out' 2024-03-06 23:02:55,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/580.bin' 2024-03-06 23:02:55,972 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/580.out' 2024-03-06 23:02:55,973 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/581.bin' 2024-03-06 23:02:55,976 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/581.out' 2024-03-06 23:02:55,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/582.bin' 2024-03-06 23:02:55,980 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/582.out' 2024-03-06 23:02:55,981 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/583.bin' 2024-03-06 23:02:55,984 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/583.out' 2024-03-06 23:02:55,985 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/584.bin' 2024-03-06 23:02:55,988 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/584.out' 2024-03-06 23:02:55,990 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/585.bin' 2024-03-06 23:02:55,992 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/585.out' 2024-03-06 23:02:55,994 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/586.bin' 2024-03-06 23:02:55,996 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/586.out' 2024-03-06 23:02:55,998 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/587.bin' 2024-03-06 23:02:56,000 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/587.out' 2024-03-06 23:02:56,002 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/588.bin' 2024-03-06 23:02:56,004 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/588.out' 2024-03-06 23:02:56,006 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/589.bin' 2024-03-06 23:02:56,008 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/589.out' 2024-03-06 23:02:56,010 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/59.bin' 2024-03-06 23:02:56,013 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/59.out' 2024-03-06 23:02:56,014 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/590.bin' 2024-03-06 23:02:56,017 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/590.out' 2024-03-06 23:02:56,018 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/591.bin' 2024-03-06 23:02:56,021 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/591.out' 2024-03-06 23:02:56,022 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/592.bin' 2024-03-06 23:02:56,025 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/592.out' 2024-03-06 23:02:56,026 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/593.bin' 2024-03-06 23:02:56,029 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/593.out' 2024-03-06 23:02:56,030 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/594.bin' 2024-03-06 23:02:56,033 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/594.out' 2024-03-06 23:02:56,034 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/595.bin' 2024-03-06 23:02:56,037 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/595.out' 2024-03-06 23:02:56,038 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/596.bin' 2024-03-06 23:02:56,041 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/596.out' 2024-03-06 23:02:56,042 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/597.bin' 2024-03-06 23:02:56,045 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/597.out' 2024-03-06 23:02:56,046 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/598.bin' 2024-03-06 23:02:56,049 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/598.out' 2024-03-06 23:02:56,050 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/599.bin' 2024-03-06 23:02:56,053 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/599.out' 2024-03-06 23:02:56,054 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/6.bin' 2024-03-06 23:02:56,057 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/6.out' 2024-03-06 23:02:56,058 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/60.bin' 2024-03-06 23:02:56,061 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/60.out' 2024-03-06 23:02:56,063 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/600.bin' 2024-03-06 23:02:56,065 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/600.out' 2024-03-06 23:02:56,067 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/601.bin' 2024-03-06 23:02:56,069 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/601.out' 2024-03-06 23:02:56,071 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/602.bin' 2024-03-06 23:02:56,073 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/602.out' 2024-03-06 23:02:56,075 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/603.bin' 2024-03-06 23:02:56,077 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/603.out' 2024-03-06 23:02:56,079 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/604.bin' 2024-03-06 23:02:56,081 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/604.out' 2024-03-06 23:02:56,083 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/605.bin' 2024-03-06 23:02:56,085 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/605.out' 2024-03-06 23:02:56,087 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/606.bin' 2024-03-06 23:02:56,089 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/606.out' 2024-03-06 23:02:56,091 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/607.bin' 2024-03-06 23:02:56,093 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/607.out' 2024-03-06 23:02:56,095 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/608.bin' 2024-03-06 23:02:56,098 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/608.out' 2024-03-06 23:02:56,099 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/609.bin' 2024-03-06 23:02:56,102 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/609.out' 2024-03-06 23:02:56,103 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/61.bin' 2024-03-06 23:02:56,106 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/61.out' 2024-03-06 23:02:56,107 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/610.bin' 2024-03-06 23:02:56,110 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/610.out' 2024-03-06 23:02:56,111 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/611.bin' 2024-03-06 23:02:56,114 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/611.out' 2024-03-06 23:02:56,115 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/612.bin' 2024-03-06 23:02:56,118 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/612.out' 2024-03-06 23:02:56,119 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/613.bin' 2024-03-06 23:02:56,122 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/613.out' 2024-03-06 23:02:56,123 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/614.bin' 2024-03-06 23:02:56,126 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/614.out' 2024-03-06 23:02:56,127 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/615.bin' 2024-03-06 23:02:56,130 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/615.out' 2024-03-06 23:02:56,131 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/616.bin' 2024-03-06 23:02:56,134 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/616.out' 2024-03-06 23:02:56,135 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/617.bin' 2024-03-06 23:02:56,138 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/617.out' 2024-03-06 23:02:56,140 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/618.bin' 2024-03-06 23:02:56,142 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/618.out' 2024-03-06 23:02:56,144 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/619.bin' 2024-03-06 23:02:56,146 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/619.out' 2024-03-06 23:02:56,148 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/62.bin' 2024-03-06 23:02:56,150 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/62.out' 2024-03-06 23:02:56,152 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/620.bin' 2024-03-06 23:02:56,154 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/620.out' 2024-03-06 23:02:56,156 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/621.bin' 2024-03-06 23:02:56,158 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/621.out' 2024-03-06 23:02:56,160 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/622.bin' 2024-03-06 23:02:56,162 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/622.out' 2024-03-06 23:02:56,164 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/623.bin' 2024-03-06 23:02:56,166 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/623.out' 2024-03-06 23:02:56,168 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/624.bin' 2024-03-06 23:02:56,171 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/624.out' 2024-03-06 23:02:56,172 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/625.bin' 2024-03-06 23:02:56,175 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/625.out' 2024-03-06 23:02:56,176 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/626.bin' 2024-03-06 23:02:56,179 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/626.out' 2024-03-06 23:02:56,180 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/627.bin' 2024-03-06 23:02:56,183 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/627.out' 2024-03-06 23:02:56,184 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/628.bin' 2024-03-06 23:02:56,187 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/628.out' 2024-03-06 23:02:56,188 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/629.bin' 2024-03-06 23:02:56,191 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/629.out' 2024-03-06 23:02:56,192 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/63.bin' 2024-03-06 23:02:56,195 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/63.out' 2024-03-06 23:02:56,196 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/630.bin' 2024-03-06 23:02:56,199 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/630.out' 2024-03-06 23:02:56,200 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/631.bin' 2024-03-06 23:02:56,203 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/631.out' 2024-03-06 23:02:56,205 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/632.bin' 2024-03-06 23:02:56,207 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/632.out' 2024-03-06 23:02:56,209 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/633.bin' 2024-03-06 23:02:56,211 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/633.out' 2024-03-06 23:02:56,213 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/634.bin' 2024-03-06 23:02:56,215 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/634.out' 2024-03-06 23:02:56,217 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/635.bin' 2024-03-06 23:02:56,219 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/635.out' 2024-03-06 23:02:56,221 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/636.bin' 2024-03-06 23:02:56,223 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/636.out' 2024-03-06 23:02:56,225 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/637.bin' 2024-03-06 23:02:56,227 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/637.out' 2024-03-06 23:02:56,229 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/638.bin' 2024-03-06 23:02:56,231 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/638.out' 2024-03-06 23:02:56,233 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/639.bin' 2024-03-06 23:02:56,235 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/639.out' 2024-03-06 23:02:56,237 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/64.bin' 2024-03-06 23:02:56,240 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/64.out' 2024-03-06 23:02:56,241 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/640.bin' 2024-03-06 23:02:56,244 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/640.out' 2024-03-06 23:02:56,245 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/641.bin' 2024-03-06 23:02:56,248 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/641.out' 2024-03-06 23:02:56,249 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/642.bin' 2024-03-06 23:02:56,252 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/642.out' 2024-03-06 23:02:56,253 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/643.bin' 2024-03-06 23:02:56,256 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/643.out' 2024-03-06 23:02:56,257 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/644.bin' 2024-03-06 23:02:56,260 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/644.out' 2024-03-06 23:02:56,261 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/645.bin' 2024-03-06 23:02:56,264 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/645.out' 2024-03-06 23:02:56,265 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/646.bin' 2024-03-06 23:02:56,268 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/646.out' 2024-03-06 23:02:56,269 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/647.bin' 2024-03-06 23:02:56,272 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/647.out' 2024-03-06 23:02:56,274 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/648.bin' 2024-03-06 23:02:56,276 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/648.out' 2024-03-06 23:02:56,278 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/649.bin' 2024-03-06 23:02:56,280 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/649.out' 2024-03-06 23:02:56,282 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/65.bin' 2024-03-06 23:02:56,285 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/65.out' 2024-03-06 23:02:56,286 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/650.bin' 2024-03-06 23:02:56,289 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/650.out' 2024-03-06 23:02:56,290 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/651.bin' 2024-03-06 23:02:56,293 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/651.out' 2024-03-06 23:02:56,294 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/652.bin' 2024-03-06 23:02:56,297 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/652.out' 2024-03-06 23:02:56,298 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/653.bin' 2024-03-06 23:02:56,301 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/653.out' 2024-03-06 23:02:56,302 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/654.bin' 2024-03-06 23:02:56,305 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/654.out' 2024-03-06 23:02:56,306 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/655.bin' 2024-03-06 23:02:56,309 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/655.out' 2024-03-06 23:02:56,310 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/656.bin' 2024-03-06 23:02:56,313 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/656.out' 2024-03-06 23:02:56,314 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/657.bin' 2024-03-06 23:02:56,317 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/657.out' 2024-03-06 23:02:56,318 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/658.bin' 2024-03-06 23:02:56,321 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/658.out' 2024-03-06 23:02:56,322 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/659.bin' 2024-03-06 23:02:56,325 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/659.out' 2024-03-06 23:02:56,326 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/66.bin' 2024-03-06 23:02:56,329 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/66.out' 2024-03-06 23:02:56,331 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/660.bin' 2024-03-06 23:02:56,333 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/660.out' 2024-03-06 23:02:56,335 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/661.bin' 2024-03-06 23:02:56,337 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/661.out' 2024-03-06 23:02:56,339 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/662.bin' 2024-03-06 23:02:56,341 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/662.out' 2024-03-06 23:02:56,343 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/663.bin' 2024-03-06 23:02:56,346 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/663.out' 2024-03-06 23:02:56,347 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/664.bin' 2024-03-06 23:02:56,350 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/664.out' 2024-03-06 23:02:56,351 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/665.bin' 2024-03-06 23:02:56,354 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/665.out' 2024-03-06 23:02:56,355 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/666.bin' 2024-03-06 23:02:56,358 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/666.out' 2024-03-06 23:02:56,359 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/667.bin' 2024-03-06 23:02:56,362 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/667.out' 2024-03-06 23:02:56,363 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/668.bin' 2024-03-06 23:02:56,366 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/668.out' 2024-03-06 23:02:56,367 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/669.bin' 2024-03-06 23:02:56,370 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/669.out' 2024-03-06 23:02:56,371 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/67.bin' 2024-03-06 23:02:56,374 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/67.out' 2024-03-06 23:02:56,375 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/670.bin' 2024-03-06 23:02:56,378 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/670.out' 2024-03-06 23:02:56,379 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/671.bin' 2024-03-06 23:02:56,382 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/671.out' 2024-03-06 23:02:56,383 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/672.bin' 2024-03-06 23:02:56,386 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/672.out' 2024-03-06 23:02:56,388 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/673.bin' 2024-03-06 23:02:56,390 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/673.out' 2024-03-06 23:02:56,392 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/674.bin' 2024-03-06 23:02:56,394 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/674.out' 2024-03-06 23:02:56,396 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/675.bin' 2024-03-06 23:02:56,399 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/675.out' 2024-03-06 23:02:56,400 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/676.bin' 2024-03-06 23:02:56,403 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/676.out' 2024-03-06 23:02:56,404 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/677.bin' 2024-03-06 23:02:56,407 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/677.out' 2024-03-06 23:02:56,408 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/678.bin' 2024-03-06 23:02:56,411 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/678.out' 2024-03-06 23:02:56,412 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/679.bin' 2024-03-06 23:02:56,415 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/679.out' 2024-03-06 23:02:56,416 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/68.bin' 2024-03-06 23:02:56,419 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/68.out' 2024-03-06 23:02:56,420 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/680.bin' 2024-03-06 23:02:56,423 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/680.out' 2024-03-06 23:02:56,424 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/681.bin' 2024-03-06 23:02:56,427 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/681.out' 2024-03-06 23:02:56,428 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/682.bin' 2024-03-06 23:02:56,431 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/682.out' 2024-03-06 23:02:56,432 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/683.bin' 2024-03-06 23:02:56,435 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/683.out' 2024-03-06 23:02:56,436 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/684.bin' 2024-03-06 23:02:56,439 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/684.out' 2024-03-06 23:02:56,440 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/685.bin' 2024-03-06 23:02:56,443 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/685.out' 2024-03-06 23:02:56,445 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/686.bin' 2024-03-06 23:02:56,447 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/686.out' 2024-03-06 23:02:56,449 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/687.bin' 2024-03-06 23:02:56,451 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/687.out' 2024-03-06 23:02:56,453 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/688.bin' 2024-03-06 23:02:56,455 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/688.out' 2024-03-06 23:02:56,457 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/689.bin' 2024-03-06 23:02:56,459 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/689.out' 2024-03-06 23:02:56,461 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/69.bin' 2024-03-06 23:02:56,463 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/69.out' 2024-03-06 23:02:56,465 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/690.bin' 2024-03-06 23:02:56,467 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/690.out' 2024-03-06 23:02:56,469 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/691.bin' 2024-03-06 23:02:56,471 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/691.out' 2024-03-06 23:02:56,473 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/692.bin' 2024-03-06 23:02:56,475 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/692.out' 2024-03-06 23:02:56,477 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/693.bin' 2024-03-06 23:02:56,479 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/693.out' 2024-03-06 23:02:56,481 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/694.bin' 2024-03-06 23:02:56,483 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/694.out' 2024-03-06 23:02:56,485 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/695.bin' 2024-03-06 23:02:56,487 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/695.out' 2024-03-06 23:02:56,489 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/696.bin' 2024-03-06 23:02:56,491 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/696.out' 2024-03-06 23:02:56,494 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/697.bin' 2024-03-06 23:02:56,496 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/697.out' 2024-03-06 23:02:56,498 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/698.bin' 2024-03-06 23:02:56,500 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/698.out' 2024-03-06 23:02:56,502 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/699.bin' 2024-03-06 23:02:56,504 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/699.out' 2024-03-06 23:02:56,506 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/7.bin' 2024-03-06 23:02:56,509 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/7.out' 2024-03-06 23:02:56,510 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/70.bin' 2024-03-06 23:02:56,513 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/70.out' 2024-03-06 23:02:56,514 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/700.bin' 2024-03-06 23:02:56,517 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/700.out' 2024-03-06 23:02:56,518 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/701.bin' 2024-03-06 23:02:56,521 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/701.out' 2024-03-06 23:02:56,522 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/702.bin' 2024-03-06 23:02:56,525 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/702.out' 2024-03-06 23:02:56,526 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/703.bin' 2024-03-06 23:02:56,529 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/703.out' 2024-03-06 23:02:56,530 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/704.bin' 2024-03-06 23:02:56,533 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/704.out' 2024-03-06 23:02:56,534 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/705.bin' 2024-03-06 23:02:56,537 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/705.out' 2024-03-06 23:02:56,538 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/706.bin' 2024-03-06 23:02:56,541 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/706.out' 2024-03-06 23:02:56,542 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/707.bin' 2024-03-06 23:02:56,545 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/707.out' 2024-03-06 23:02:56,546 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/708.bin' 2024-03-06 23:02:56,549 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/708.out' 2024-03-06 23:02:56,550 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/709.bin' 2024-03-06 23:02:56,553 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/709.out' 2024-03-06 23:02:56,554 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/71.bin' 2024-03-06 23:02:56,557 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/71.out' 2024-03-06 23:02:56,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/710.bin' 2024-03-06 23:02:56,561 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/710.out' 2024-03-06 23:02:56,562 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/711.bin' 2024-03-06 23:02:56,565 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/711.out' 2024-03-06 23:02:56,567 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/712.bin' 2024-03-06 23:02:56,569 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/712.out' 2024-03-06 23:02:56,571 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/713.bin' 2024-03-06 23:02:56,573 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/713.out' 2024-03-06 23:02:56,575 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/714.bin' 2024-03-06 23:02:56,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/714.out' 2024-03-06 23:02:56,579 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/715.bin' 2024-03-06 23:02:56,581 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/715.out' 2024-03-06 23:02:56,583 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/716.bin' 2024-03-06 23:02:56,586 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/716.out' 2024-03-06 23:02:56,587 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/717.bin' 2024-03-06 23:02:56,590 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/717.out' 2024-03-06 23:02:56,591 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/718.bin' 2024-03-06 23:02:56,594 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/718.out' 2024-03-06 23:02:56,595 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/719.bin' 2024-03-06 23:02:56,598 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/719.out' 2024-03-06 23:02:56,599 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/72.bin' 2024-03-06 23:02:56,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/72.out' 2024-03-06 23:02:56,603 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/720.bin' 2024-03-06 23:02:56,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/720.out' 2024-03-06 23:02:56,607 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/721.bin' 2024-03-06 23:02:56,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/721.out' 2024-03-06 23:02:56,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/722.bin' 2024-03-06 23:02:56,614 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/722.out' 2024-03-06 23:02:56,615 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/723.bin' 2024-03-06 23:02:56,618 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/723.out' 2024-03-06 23:02:56,619 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/724.bin' 2024-03-06 23:02:56,622 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/724.out' 2024-03-06 23:02:56,623 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/725.bin' 2024-03-06 23:02:56,626 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/725.out' 2024-03-06 23:02:56,627 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/726.bin' 2024-03-06 23:02:56,630 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/726.out' 2024-03-06 23:02:56,631 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/727.bin' 2024-03-06 23:02:56,634 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/727.out' 2024-03-06 23:02:56,635 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/728.bin' 2024-03-06 23:02:56,638 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/728.out' 2024-03-06 23:02:56,639 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/729.bin' 2024-03-06 23:02:56,642 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/729.out' 2024-03-06 23:02:56,644 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/73.bin' 2024-03-06 23:02:56,646 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/73.out' 2024-03-06 23:02:56,648 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/730.bin' 2024-03-06 23:02:56,650 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/730.out' 2024-03-06 23:02:56,652 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/731.bin' 2024-03-06 23:02:56,655 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/731.out' 2024-03-06 23:02:56,656 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/732.bin' 2024-03-06 23:02:56,659 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/732.out' 2024-03-06 23:02:56,660 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/733.bin' 2024-03-06 23:02:56,663 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/733.out' 2024-03-06 23:02:56,664 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/734.bin' 2024-03-06 23:02:56,667 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/734.out' 2024-03-06 23:02:56,668 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/735.bin' 2024-03-06 23:02:56,671 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/735.out' 2024-03-06 23:02:56,672 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/736.bin' 2024-03-06 23:02:56,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/736.out' 2024-03-06 23:02:56,676 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/737.bin' 2024-03-06 23:02:56,679 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/737.out' 2024-03-06 23:02:56,680 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/738.bin' 2024-03-06 23:02:56,683 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/738.out' 2024-03-06 23:02:56,684 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/739.bin' 2024-03-06 23:02:56,687 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/739.out' 2024-03-06 23:02:56,688 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/74.bin' 2024-03-06 23:02:56,691 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/74.out' 2024-03-06 23:02:56,692 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/740.bin' 2024-03-06 23:02:56,695 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/740.out' 2024-03-06 23:02:56,696 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/741.bin' 2024-03-06 23:02:56,699 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/741.out' 2024-03-06 23:02:56,700 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/742.bin' 2024-03-06 23:02:56,703 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/742.out' 2024-03-06 23:02:56,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/743.bin' 2024-03-06 23:02:56,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/743.out' 2024-03-06 23:02:56,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/744.bin' 2024-03-06 23:02:56,711 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/744.out' 2024-03-06 23:02:56,713 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/745.bin' 2024-03-06 23:02:56,715 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/745.out' 2024-03-06 23:02:56,717 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/746.bin' 2024-03-06 23:02:56,720 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/746.out' 2024-03-06 23:02:56,721 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/747.bin' 2024-03-06 23:02:56,724 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/747.out' 2024-03-06 23:02:56,725 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/748.bin' 2024-03-06 23:02:56,728 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/748.out' 2024-03-06 23:02:56,729 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/749.bin' 2024-03-06 23:02:56,732 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/749.out' 2024-03-06 23:02:56,733 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/75.bin' 2024-03-06 23:02:56,736 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/75.out' 2024-03-06 23:02:56,737 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/750.bin' 2024-03-06 23:02:56,740 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/750.out' 2024-03-06 23:02:56,741 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/751.bin' 2024-03-06 23:02:56,744 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/751.out' 2024-03-06 23:02:56,745 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/752.bin' 2024-03-06 23:02:56,748 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/752.out' 2024-03-06 23:02:56,749 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/753.bin' 2024-03-06 23:02:56,752 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/753.out' 2024-03-06 23:02:56,753 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/754.bin' 2024-03-06 23:02:56,756 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/754.out' 2024-03-06 23:02:56,757 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/755.bin' 2024-03-06 23:02:56,760 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/755.out' 2024-03-06 23:02:56,761 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/756.bin' 2024-03-06 23:02:56,764 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/756.out' 2024-03-06 23:02:56,765 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/757.bin' 2024-03-06 23:02:56,768 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/757.out' 2024-03-06 23:02:56,769 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/758.bin' 2024-03-06 23:02:56,772 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/758.out' 2024-03-06 23:02:56,773 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/759.bin' 2024-03-06 23:02:56,776 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/759.out' 2024-03-06 23:02:56,777 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/76.bin' 2024-03-06 23:02:56,780 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/76.out' 2024-03-06 23:02:56,781 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/760.bin' 2024-03-06 23:02:56,784 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/760.out' 2024-03-06 23:02:56,785 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/761.bin' 2024-03-06 23:02:56,788 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/761.out' 2024-03-06 23:02:56,789 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/762.bin' 2024-03-06 23:02:56,792 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/762.out' 2024-03-06 23:02:56,793 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/763.bin' 2024-03-06 23:02:56,796 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/763.out' 2024-03-06 23:02:56,798 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/764.bin' 2024-03-06 23:02:56,800 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/764.out' 2024-03-06 23:02:56,802 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/765.bin' 2024-03-06 23:02:56,804 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/765.out' 2024-03-06 23:02:56,806 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/766.bin' 2024-03-06 23:02:56,809 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/766.out' 2024-03-06 23:02:56,810 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/767.bin' 2024-03-06 23:02:56,813 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/767.out' 2024-03-06 23:02:56,814 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/768.bin' 2024-03-06 23:02:56,817 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/768.out' 2024-03-06 23:02:56,818 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/769.bin' 2024-03-06 23:02:56,821 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/769.out' 2024-03-06 23:02:56,822 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/77.bin' 2024-03-06 23:02:56,825 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/77.out' 2024-03-06 23:02:56,826 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/770.bin' 2024-03-06 23:02:56,829 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/770.out' 2024-03-06 23:02:56,830 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/771.bin' 2024-03-06 23:02:56,833 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/771.out' 2024-03-06 23:02:56,834 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/772.bin' 2024-03-06 23:02:56,837 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/772.out' 2024-03-06 23:02:56,838 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/773.bin' 2024-03-06 23:02:56,841 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/773.out' 2024-03-06 23:02:56,842 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/774.bin' 2024-03-06 23:02:56,845 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/774.out' 2024-03-06 23:02:56,846 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/775.bin' 2024-03-06 23:02:56,849 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/775.out' 2024-03-06 23:02:56,850 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/776.bin' 2024-03-06 23:02:56,853 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/776.out' 2024-03-06 23:02:56,855 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/777.bin' 2024-03-06 23:02:56,857 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/777.out' 2024-03-06 23:02:56,859 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/778.bin' 2024-03-06 23:02:56,861 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/778.out' 2024-03-06 23:02:56,863 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/779.bin' 2024-03-06 23:02:56,865 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/779.out' 2024-03-06 23:02:56,867 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/78.bin' 2024-03-06 23:02:56,870 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/78.out' 2024-03-06 23:02:56,871 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/780.bin' 2024-03-06 23:02:56,874 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/780.out' 2024-03-06 23:02:56,875 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/781.bin' 2024-03-06 23:02:56,878 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/781.out' 2024-03-06 23:02:56,879 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/782.bin' 2024-03-06 23:02:56,882 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/782.out' 2024-03-06 23:02:56,883 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/783.bin' 2024-03-06 23:02:56,886 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/783.out' 2024-03-06 23:02:56,887 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/784.bin' 2024-03-06 23:02:56,890 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/784.out' 2024-03-06 23:02:56,891 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/785.bin' 2024-03-06 23:02:56,894 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/785.out' 2024-03-06 23:02:56,895 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/786.bin' 2024-03-06 23:02:56,898 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/786.out' 2024-03-06 23:02:56,900 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/787.bin' 2024-03-06 23:02:56,902 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/787.out' 2024-03-06 23:02:56,904 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/788.bin' 2024-03-06 23:02:56,906 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/788.out' 2024-03-06 23:02:56,908 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/789.bin' 2024-03-06 23:02:56,911 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/789.out' 2024-03-06 23:02:56,912 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/79.bin' 2024-03-06 23:02:56,915 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/79.out' 2024-03-06 23:02:56,916 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/790.bin' 2024-03-06 23:02:56,919 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/790.out' 2024-03-06 23:02:56,920 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/791.bin' 2024-03-06 23:02:56,923 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/791.out' 2024-03-06 23:02:56,924 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/792.bin' 2024-03-06 23:02:56,927 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/792.out' 2024-03-06 23:02:56,928 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/793.bin' 2024-03-06 23:02:56,931 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/793.out' 2024-03-06 23:02:56,932 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/794.bin' 2024-03-06 23:02:56,935 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/794.out' 2024-03-06 23:02:56,936 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/795.bin' 2024-03-06 23:02:56,939 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/795.out' 2024-03-06 23:02:56,940 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/796.bin' 2024-03-06 23:02:56,943 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/796.out' 2024-03-06 23:02:56,944 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/797.bin' 2024-03-06 23:02:56,947 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/797.out' 2024-03-06 23:02:56,949 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/798.bin' 2024-03-06 23:02:56,951 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/798.out' 2024-03-06 23:02:56,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/799.bin' 2024-03-06 23:02:56,955 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/799.out' 2024-03-06 23:02:56,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/8.bin' 2024-03-06 23:02:56,959 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/8.out' 2024-03-06 23:02:56,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/80.bin' 2024-03-06 23:02:56,963 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/80.out' 2024-03-06 23:02:56,965 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/800.bin' 2024-03-06 23:02:56,968 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/800.out' 2024-03-06 23:02:56,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/801.bin' 2024-03-06 23:02:56,972 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/801.out' 2024-03-06 23:02:56,973 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/802.bin' 2024-03-06 23:02:56,976 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/802.out' 2024-03-06 23:02:56,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/803.bin' 2024-03-06 23:02:56,980 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/803.out' 2024-03-06 23:02:56,981 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/804.bin' 2024-03-06 23:02:56,984 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/804.out' 2024-03-06 23:02:56,985 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/805.bin' 2024-03-06 23:02:56,988 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/805.out' 2024-03-06 23:02:56,989 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/806.bin' 2024-03-06 23:02:56,992 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/806.out' 2024-03-06 23:02:56,993 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/807.bin' 2024-03-06 23:02:56,996 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/807.out' 2024-03-06 23:02:56,997 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/808.bin' 2024-03-06 23:02:57,000 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/808.out' 2024-03-06 23:02:57,001 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/809.bin' 2024-03-06 23:02:57,004 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/809.out' 2024-03-06 23:02:57,005 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/81.bin' 2024-03-06 23:02:57,008 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/81.out' 2024-03-06 23:02:57,009 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/810.bin' 2024-03-06 23:02:57,012 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/810.out' 2024-03-06 23:02:57,013 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/811.bin' 2024-03-06 23:02:57,016 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/811.out' 2024-03-06 23:02:57,018 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/812.bin' 2024-03-06 23:02:57,020 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/812.out' 2024-03-06 23:02:57,022 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/813.bin' 2024-03-06 23:02:57,024 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/813.out' 2024-03-06 23:02:57,026 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/814.bin' 2024-03-06 23:02:57,028 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/814.out' 2024-03-06 23:02:57,030 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/815.bin' 2024-03-06 23:02:57,032 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/815.out' 2024-03-06 23:02:57,034 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/816.bin' 2024-03-06 23:02:57,037 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/816.out' 2024-03-06 23:02:57,038 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/817.bin' 2024-03-06 23:02:57,041 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/817.out' 2024-03-06 23:02:57,042 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/818.bin' 2024-03-06 23:02:57,045 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/818.out' 2024-03-06 23:02:57,046 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/819.bin' 2024-03-06 23:02:57,049 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/819.out' 2024-03-06 23:02:57,050 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/82.bin' 2024-03-06 23:02:57,053 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/82.out' 2024-03-06 23:02:57,054 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/820.bin' 2024-03-06 23:02:57,057 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/820.out' 2024-03-06 23:02:57,058 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/821.bin' 2024-03-06 23:02:57,061 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/821.out' 2024-03-06 23:02:57,062 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/822.bin' 2024-03-06 23:02:57,065 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/822.out' 2024-03-06 23:02:57,066 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/823.bin' 2024-03-06 23:02:57,069 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/823.out' 2024-03-06 23:02:57,071 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/824.bin' 2024-03-06 23:02:57,073 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/824.out' 2024-03-06 23:02:57,075 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/825.bin' 2024-03-06 23:02:57,077 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/825.out' 2024-03-06 23:02:57,079 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/826.bin' 2024-03-06 23:02:57,081 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/826.out' 2024-03-06 23:02:57,083 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/827.bin' 2024-03-06 23:02:57,085 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/827.out' 2024-03-06 23:02:57,087 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/828.bin' 2024-03-06 23:02:57,089 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/828.out' 2024-03-06 23:02:57,091 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/829.bin' 2024-03-06 23:02:57,094 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/829.out' 2024-03-06 23:02:57,095 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/83.bin' 2024-03-06 23:02:57,098 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/83.out' 2024-03-06 23:02:57,099 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/830.bin' 2024-03-06 23:02:57,102 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/830.out' 2024-03-06 23:02:57,103 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/831.bin' 2024-03-06 23:02:57,106 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/831.out' 2024-03-06 23:02:57,107 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/832.bin' 2024-03-06 23:02:57,110 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/832.out' 2024-03-06 23:02:57,111 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/833.bin' 2024-03-06 23:02:57,114 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/833.out' 2024-03-06 23:02:57,115 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/834.bin' 2024-03-06 23:02:57,118 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/834.out' 2024-03-06 23:02:57,119 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/835.bin' 2024-03-06 23:02:57,122 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/835.out' 2024-03-06 23:02:57,123 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/836.bin' 2024-03-06 23:02:57,126 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/836.out' 2024-03-06 23:02:57,127 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/837.bin' 2024-03-06 23:02:57,130 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/837.out' 2024-03-06 23:02:57,132 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/838.bin' 2024-03-06 23:02:57,134 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/838.out' 2024-03-06 23:02:57,136 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/839.bin' 2024-03-06 23:02:57,138 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/839.out' 2024-03-06 23:02:57,140 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/84.bin' 2024-03-06 23:02:57,142 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/84.out' 2024-03-06 23:02:57,144 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/840.bin' 2024-03-06 23:02:57,146 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/840.out' 2024-03-06 23:02:57,148 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/841.bin' 2024-03-06 23:02:57,150 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/841.out' 2024-03-06 23:02:57,152 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/842.bin' 2024-03-06 23:02:57,155 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/842.out' 2024-03-06 23:02:57,157 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/843.bin' 2024-03-06 23:02:57,160 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/843.out' 2024-03-06 23:02:57,161 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/844.bin' 2024-03-06 23:02:57,164 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/844.out' 2024-03-06 23:02:57,165 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/845.bin' 2024-03-06 23:02:57,168 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/845.out' 2024-03-06 23:02:57,169 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/846.bin' 2024-03-06 23:02:57,172 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/846.out' 2024-03-06 23:02:57,173 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/847.bin' 2024-03-06 23:02:57,176 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/847.out' 2024-03-06 23:02:57,177 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/848.bin' 2024-03-06 23:02:57,180 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/848.out' 2024-03-06 23:02:57,181 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/849.bin' 2024-03-06 23:02:57,184 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/849.out' 2024-03-06 23:02:57,185 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/85.bin' 2024-03-06 23:02:57,188 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/85.out' 2024-03-06 23:02:57,189 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/850.bin' 2024-03-06 23:02:57,192 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/850.out' 2024-03-06 23:02:57,193 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/851.bin' 2024-03-06 23:02:57,196 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/851.out' 2024-03-06 23:02:57,197 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/852.bin' 2024-03-06 23:02:57,200 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/852.out' 2024-03-06 23:02:57,201 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/853.bin' 2024-03-06 23:02:57,204 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/853.out' 2024-03-06 23:02:57,206 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/854.bin' 2024-03-06 23:02:57,208 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/854.out' 2024-03-06 23:02:57,210 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/855.bin' 2024-03-06 23:02:57,212 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/855.out' 2024-03-06 23:02:57,214 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/856.bin' 2024-03-06 23:02:57,216 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/856.out' 2024-03-06 23:02:57,218 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/857.bin' 2024-03-06 23:02:57,220 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/857.out' 2024-03-06 23:02:57,222 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/858.bin' 2024-03-06 23:02:57,224 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/858.out' 2024-03-06 23:02:57,226 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/859.bin' 2024-03-06 23:02:57,228 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/859.out' 2024-03-06 23:02:57,230 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/86.bin' 2024-03-06 23:02:57,232 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/86.out' 2024-03-06 23:02:57,234 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/860.bin' 2024-03-06 23:02:57,237 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/860.out' 2024-03-06 23:02:57,238 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/861.bin' 2024-03-06 23:02:57,241 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/861.out' 2024-03-06 23:02:57,242 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/862.bin' 2024-03-06 23:02:57,245 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/862.out' 2024-03-06 23:02:57,246 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/863.bin' 2024-03-06 23:02:57,249 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/863.out' 2024-03-06 23:02:57,250 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/864.bin' 2024-03-06 23:02:57,253 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/864.out' 2024-03-06 23:02:57,254 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/865.bin' 2024-03-06 23:02:57,257 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/865.out' 2024-03-06 23:02:57,258 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/866.bin' 2024-03-06 23:02:57,261 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/866.out' 2024-03-06 23:02:57,262 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/867.bin' 2024-03-06 23:02:57,265 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/867.out' 2024-03-06 23:02:57,266 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/868.bin' 2024-03-06 23:02:57,269 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/868.out' 2024-03-06 23:02:57,270 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/869.bin' 2024-03-06 23:02:57,273 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/869.out' 2024-03-06 23:02:57,274 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/87.bin' 2024-03-06 23:02:57,277 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/87.out' 2024-03-06 23:02:57,279 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/870.bin' 2024-03-06 23:02:57,281 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/870.out' 2024-03-06 23:02:57,283 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/871.bin' 2024-03-06 23:02:57,285 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/871.out' 2024-03-06 23:02:57,287 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/872.bin' 2024-03-06 23:02:57,289 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/872.out' 2024-03-06 23:02:57,291 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/873.bin' 2024-03-06 23:02:57,293 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/873.out' 2024-03-06 23:02:57,295 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/874.bin' 2024-03-06 23:02:57,297 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/874.out' 2024-03-06 23:02:57,299 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/875.bin' 2024-03-06 23:02:57,302 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/875.out' 2024-03-06 23:02:57,303 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/876.bin' 2024-03-06 23:02:57,306 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/876.out' 2024-03-06 23:02:57,307 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/877.bin' 2024-03-06 23:02:57,310 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/877.out' 2024-03-06 23:02:57,311 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/878.bin' 2024-03-06 23:02:57,314 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/878.out' 2024-03-06 23:02:57,315 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/879.bin' 2024-03-06 23:02:57,318 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/879.out' 2024-03-06 23:02:57,319 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/88.bin' 2024-03-06 23:02:57,322 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/88.out' 2024-03-06 23:02:57,323 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/880.bin' 2024-03-06 23:02:57,326 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/880.out' 2024-03-06 23:02:57,327 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/881.bin' 2024-03-06 23:02:57,330 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/881.out' 2024-03-06 23:02:57,331 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/882.bin' 2024-03-06 23:02:57,334 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/882.out' 2024-03-06 23:02:57,335 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/883.bin' 2024-03-06 23:02:57,338 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/883.out' 2024-03-06 23:02:57,339 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/884.bin' 2024-03-06 23:02:57,342 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/884.out' 2024-03-06 23:02:57,344 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/885.bin' 2024-03-06 23:02:57,346 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/885.out' 2024-03-06 23:02:57,348 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/886.bin' 2024-03-06 23:02:57,350 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/886.out' 2024-03-06 23:02:57,352 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/887.bin' 2024-03-06 23:02:57,355 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/887.out' 2024-03-06 23:02:57,356 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/888.bin' 2024-03-06 23:02:57,359 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/888.out' 2024-03-06 23:02:57,360 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/889.bin' 2024-03-06 23:02:57,363 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/889.out' 2024-03-06 23:02:57,364 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/89.bin' 2024-03-06 23:02:57,367 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/89.out' 2024-03-06 23:02:57,368 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/890.bin' 2024-03-06 23:02:57,371 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/890.out' 2024-03-06 23:02:57,372 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/891.bin' 2024-03-06 23:02:57,375 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/891.out' 2024-03-06 23:02:57,376 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/892.bin' 2024-03-06 23:02:57,379 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/892.out' 2024-03-06 23:02:57,380 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/893.bin' 2024-03-06 23:02:57,383 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/893.out' 2024-03-06 23:02:57,384 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/894.bin' 2024-03-06 23:02:57,387 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/894.out' 2024-03-06 23:02:57,388 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/895.bin' 2024-03-06 23:02:57,391 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/895.out' 2024-03-06 23:02:57,392 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/896.bin' 2024-03-06 23:02:57,395 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/896.out' 2024-03-06 23:02:57,396 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/897.bin' 2024-03-06 23:02:57,399 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/897.out' 2024-03-06 23:02:57,400 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/898.bin' 2024-03-06 23:02:57,403 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/898.out' 2024-03-06 23:02:57,404 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/899.bin' 2024-03-06 23:02:57,407 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/899.out' 2024-03-06 23:02:57,408 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/9.bin' 2024-03-06 23:02:57,411 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/9.out' 2024-03-06 23:02:57,412 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/90.bin' 2024-03-06 23:02:57,415 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/90.out' 2024-03-06 23:02:57,417 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/900.bin' 2024-03-06 23:02:57,419 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/900.out' 2024-03-06 23:02:57,421 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/901.bin' 2024-03-06 23:02:57,423 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/901.out' 2024-03-06 23:02:57,425 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/902.bin' 2024-03-06 23:02:57,427 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/902.out' 2024-03-06 23:02:57,429 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/903.bin' 2024-03-06 23:02:57,431 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/903.out' 2024-03-06 23:02:57,433 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/904.bin' 2024-03-06 23:02:57,436 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/904.out' 2024-03-06 23:02:57,437 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/905.bin' 2024-03-06 23:02:57,440 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/905.out' 2024-03-06 23:02:57,441 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/906.bin' 2024-03-06 23:02:57,444 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/906.out' 2024-03-06 23:02:57,445 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/907.bin' 2024-03-06 23:02:57,448 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/907.out' 2024-03-06 23:02:57,449 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/908.bin' 2024-03-06 23:02:57,452 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/908.out' 2024-03-06 23:02:57,453 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/909.bin' 2024-03-06 23:02:57,456 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/909.out' 2024-03-06 23:02:57,457 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/91.bin' 2024-03-06 23:02:57,460 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/91.out' 2024-03-06 23:02:57,461 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/910.bin' 2024-03-06 23:02:57,464 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/910.out' 2024-03-06 23:02:57,465 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/911.bin' 2024-03-06 23:02:57,468 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/911.out' 2024-03-06 23:02:57,469 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/912.bin' 2024-03-06 23:02:57,472 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/912.out' 2024-03-06 23:02:57,473 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/913.bin' 2024-03-06 23:02:57,476 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/913.out' 2024-03-06 23:02:57,477 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/914.bin' 2024-03-06 23:02:57,480 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/914.out' 2024-03-06 23:02:57,482 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/915.bin' 2024-03-06 23:02:57,484 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/915.out' 2024-03-06 23:02:57,486 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/916.bin' 2024-03-06 23:02:57,488 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/916.out' 2024-03-06 23:02:57,490 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/917.bin' 2024-03-06 23:02:57,492 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/917.out' 2024-03-06 23:02:57,494 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/918.bin' 2024-03-06 23:02:57,496 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/918.out' 2024-03-06 23:02:57,498 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/919.bin' 2024-03-06 23:02:57,500 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/919.out' 2024-03-06 23:02:57,502 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/92.bin' 2024-03-06 23:02:57,504 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/92.out' 2024-03-06 23:02:57,506 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/920.bin' 2024-03-06 23:02:57,509 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/920.out' 2024-03-06 23:02:57,510 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/921.bin' 2024-03-06 23:02:57,513 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/921.out' 2024-03-06 23:02:57,514 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/922.bin' 2024-03-06 23:02:57,517 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/922.out' 2024-03-06 23:02:57,518 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/923.bin' 2024-03-06 23:02:57,521 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/923.out' 2024-03-06 23:02:57,522 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/924.bin' 2024-03-06 23:02:57,525 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/924.out' 2024-03-06 23:02:57,526 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/925.bin' 2024-03-06 23:02:57,529 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/925.out' 2024-03-06 23:02:57,530 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/926.bin' 2024-03-06 23:02:57,533 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/926.out' 2024-03-06 23:02:57,534 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/927.bin' 2024-03-06 23:02:57,537 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/927.out' 2024-03-06 23:02:57,538 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/928.bin' 2024-03-06 23:02:57,541 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/928.out' 2024-03-06 23:02:57,542 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/929.bin' 2024-03-06 23:02:57,545 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/929.out' 2024-03-06 23:02:57,546 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/93.bin' 2024-03-06 23:02:57,549 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/93.out' 2024-03-06 23:02:57,551 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/930.bin' 2024-03-06 23:02:57,553 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/930.out' 2024-03-06 23:02:57,555 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/931.bin' 2024-03-06 23:02:57,557 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/931.out' 2024-03-06 23:02:57,559 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/932.bin' 2024-03-06 23:02:57,561 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/932.out' 2024-03-06 23:02:57,563 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/933.bin' 2024-03-06 23:02:57,565 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/933.out' 2024-03-06 23:02:57,567 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/934.bin' 2024-03-06 23:02:57,569 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/934.out' 2024-03-06 23:02:57,571 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/935.bin' 2024-03-06 23:02:57,573 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/935.out' 2024-03-06 23:02:57,575 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/936.bin' 2024-03-06 23:02:57,578 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/936.out' 2024-03-06 23:02:57,579 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/937.bin' 2024-03-06 23:02:57,582 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/937.out' 2024-03-06 23:02:57,583 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/938.bin' 2024-03-06 23:02:57,586 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/938.out' 2024-03-06 23:02:57,587 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/939.bin' 2024-03-06 23:02:57,590 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/939.out' 2024-03-06 23:02:57,591 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/94.bin' 2024-03-06 23:02:57,594 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/94.out' 2024-03-06 23:02:57,595 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/940.bin' 2024-03-06 23:02:57,598 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/940.out' 2024-03-06 23:02:57,599 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/941.bin' 2024-03-06 23:02:57,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/941.out' 2024-03-06 23:02:57,603 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/942.bin' 2024-03-06 23:02:57,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/942.out' 2024-03-06 23:02:57,607 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/943.bin' 2024-03-06 23:02:57,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/943.out' 2024-03-06 23:02:57,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/944.bin' 2024-03-06 23:02:57,614 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/944.out' 2024-03-06 23:02:57,615 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/945.bin' 2024-03-06 23:02:57,618 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/945.out' 2024-03-06 23:02:57,619 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/946.bin' 2024-03-06 23:02:57,622 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/946.out' 2024-03-06 23:02:57,624 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/947.bin' 2024-03-06 23:02:57,627 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/947.out' 2024-03-06 23:02:57,628 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/948.bin' 2024-03-06 23:02:57,631 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/948.out' 2024-03-06 23:02:57,632 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/949.bin' 2024-03-06 23:02:57,635 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/949.out' 2024-03-06 23:02:57,636 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/95.bin' 2024-03-06 23:02:57,639 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/95.out' 2024-03-06 23:02:57,640 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/950.bin' 2024-03-06 23:02:57,643 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/950.out' 2024-03-06 23:02:57,644 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/951.bin' 2024-03-06 23:02:57,647 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/951.out' 2024-03-06 23:02:57,648 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/952.bin' 2024-03-06 23:02:57,651 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/952.out' 2024-03-06 23:02:57,652 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/953.bin' 2024-03-06 23:02:57,655 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/953.out' 2024-03-06 23:02:57,656 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/954.bin' 2024-03-06 23:02:57,659 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/954.out' 2024-03-06 23:02:57,660 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/955.bin' 2024-03-06 23:02:57,663 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/955.out' 2024-03-06 23:02:57,664 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/956.bin' 2024-03-06 23:02:57,667 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/956.out' 2024-03-06 23:02:57,668 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/957.bin' 2024-03-06 23:02:57,671 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/957.out' 2024-03-06 23:02:57,673 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/958.bin' 2024-03-06 23:02:57,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/958.out' 2024-03-06 23:02:57,677 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/959.bin' 2024-03-06 23:02:57,679 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/959.out' 2024-03-06 23:02:57,681 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/96.bin' 2024-03-06 23:02:57,684 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/96.out' 2024-03-06 23:02:57,685 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/960.bin' 2024-03-06 23:02:57,688 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/960.out' 2024-03-06 23:02:57,689 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/961.bin' 2024-03-06 23:02:57,692 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/961.out' 2024-03-06 23:02:57,693 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/962.bin' 2024-03-06 23:02:57,696 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/962.out' 2024-03-06 23:02:57,697 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/963.bin' 2024-03-06 23:02:57,700 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/963.out' 2024-03-06 23:02:57,701 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/964.bin' 2024-03-06 23:02:57,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/964.out' 2024-03-06 23:02:57,705 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/965.bin' 2024-03-06 23:02:57,708 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/965.out' 2024-03-06 23:02:57,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/966.bin' 2024-03-06 23:02:57,712 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/966.out' 2024-03-06 23:02:57,713 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/967.bin' 2024-03-06 23:02:57,716 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/967.out' 2024-03-06 23:02:57,717 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/968.bin' 2024-03-06 23:02:57,720 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/968.out' 2024-03-06 23:02:57,722 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/969.bin' 2024-03-06 23:02:57,724 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/969.out' 2024-03-06 23:02:57,726 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/97.bin' 2024-03-06 23:02:57,728 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/97.out' 2024-03-06 23:02:57,730 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/970.bin' 2024-03-06 23:02:57,733 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/970.out' 2024-03-06 23:02:57,734 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/971.bin' 2024-03-06 23:02:57,737 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/971.out' 2024-03-06 23:02:57,738 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/972.bin' 2024-03-06 23:02:57,741 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/972.out' 2024-03-06 23:02:57,742 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/973.bin' 2024-03-06 23:02:57,745 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/973.out' 2024-03-06 23:02:57,746 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/974.bin' 2024-03-06 23:02:57,749 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/974.out' 2024-03-06 23:02:57,750 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/975.bin' 2024-03-06 23:02:57,753 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/975.out' 2024-03-06 23:02:57,754 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/976.bin' 2024-03-06 23:02:57,757 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/976.out' 2024-03-06 23:02:57,758 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/977.bin' 2024-03-06 23:02:57,761 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/977.out' 2024-03-06 23:02:57,762 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/978.bin' 2024-03-06 23:02:57,765 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/978.out' 2024-03-06 23:02:57,766 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/979.bin' 2024-03-06 23:02:57,769 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/979.out' 2024-03-06 23:02:57,770 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/98.bin' 2024-03-06 23:02:57,773 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/98.out' 2024-03-06 23:02:57,774 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/980.bin' 2024-03-06 23:02:57,777 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/980.out' 2024-03-06 23:02:57,778 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/981.bin' 2024-03-06 23:02:57,781 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/981.out' 2024-03-06 23:02:57,782 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/982.bin' 2024-03-06 23:02:57,785 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/982.out' 2024-03-06 23:02:57,786 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/983.bin' 2024-03-06 23:02:57,789 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/983.out' 2024-03-06 23:02:57,790 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/984.bin' 2024-03-06 23:02:57,793 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/984.out' 2024-03-06 23:02:57,794 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/985.bin' 2024-03-06 23:02:57,797 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/985.out' 2024-03-06 23:02:57,798 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/986.bin' 2024-03-06 23:02:57,801 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/986.out' 2024-03-06 23:02:57,802 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/987.bin' 2024-03-06 23:02:57,805 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/987.out' 2024-03-06 23:02:57,806 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/988.bin' 2024-03-06 23:02:57,809 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/988.out' 2024-03-06 23:02:57,810 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/989.bin' 2024-03-06 23:02:57,813 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/989.out' 2024-03-06 23:02:57,814 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/99.bin' 2024-03-06 23:02:57,817 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/99.out' 2024-03-06 23:02:57,818 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/990.bin' 2024-03-06 23:02:57,821 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/990.out' 2024-03-06 23:02:57,822 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/991.bin' 2024-03-06 23:02:57,825 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/991.out' 2024-03-06 23:02:57,826 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/992.bin' 2024-03-06 23:02:57,829 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/992.out' 2024-03-06 23:02:57,831 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/993.bin' 2024-03-06 23:02:57,833 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/993.out' 2024-03-06 23:02:57,835 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/994.bin' 2024-03-06 23:02:57,837 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/994.out' 2024-03-06 23:02:57,839 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/995.bin' 2024-03-06 23:02:57,841 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/995.out' 2024-03-06 23:02:57,843 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/996.bin' 2024-03-06 23:02:57,846 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/996.out' 2024-03-06 23:02:57,847 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/997.bin' 2024-03-06 23:02:57,850 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/997.out' 2024-03-06 23:02:57,851 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/998.bin' 2024-03-06 23:02:57,854 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/998.out' 2024-03-06 23:02:57,855 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/999.bin' 2024-03-06 23:02:57,858 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/999.out' 2024-03-06 23:02:57,859 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/Makefile.test' 2024-03-06 23:02:57,860 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/micropython.bin' 2024-03-06 23:02:57,864 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.bin' 2024-03-06 23:02:57,866 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.console_out' 2024-03-06 23:02:57,866 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.metavalue' 2024-03-06 23:02:57,867 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_decrementer.bin' 2024-03-06 23:02:57,868 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_decrementer.console_out' 2024-03-06 23:02:57,869 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_decrementer.metavalue' 2024-03-06 23:02:57,870 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_fpu.bin' 2024-03-06 23:02:57,872 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_fpu.console_out' 2024-03-06 23:02:57,873 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_fpu.metavalue' 2024-03-06 23:02:57,874 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_illegal.bin' 2024-03-06 23:02:57,875 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_illegal.console_out' 2024-03-06 23:02:57,875 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_illegal.metavalue' 2024-03-06 23:02:57,876 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_misc.bin' 2024-03-06 23:02:57,878 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_misc.console_out' 2024-03-06 23:02:57,878 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_misc.metavalue' 2024-03-06 23:02:57,879 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_mmu.bin' 2024-03-06 23:02:57,881 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_mmu.console_out' 2024-03-06 23:02:57,882 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_mmu.metavalue' 2024-03-06 23:02:57,883 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_modes.bin' 2024-03-06 23:02:57,884 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_modes.console_out' 2024-03-06 23:02:57,885 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_modes.metavalue' 2024-03-06 23:02:57,886 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_pmu.bin' 2024-03-06 23:02:57,887 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_pmu.console_out' 2024-03-06 23:02:57,888 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_pmu.metavalue' 2024-03-06 23:02:57,889 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_privileged.bin' 2024-03-06 23:02:57,890 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_privileged.console_out' 2024-03-06 23:02:57,891 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_privileged.metavalue' 2024-03-06 23:02:57,892 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_reservation.bin' 2024-03-06 23:02:57,893 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_reservation.console_out' 2024-03-06 23:02:57,894 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_reservation.metavalue' 2024-03-06 23:02:57,895 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_sc.bin' 2024-03-06 23:02:57,896 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_sc.console_out' 2024-03-06 23:02:57,897 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_sc.metavalue' 2024-03-06 23:02:57,898 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_spr_read.bin' 2024-03-06 23:02:57,899 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_spr_read.console_out' 2024-03-06 23:02:57,900 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_spr_read.metavalue' 2024-03-06 23:02:57,901 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_trace.bin' 2024-03-06 23:02:57,902 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_trace.console_out' 2024-03-06 23:02:57,903 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_trace.metavalue' 2024-03-06 23:02:57,904 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_xics.bin' 2024-03-06 23:02:57,905 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_xics.console_out' 2024-03-06 23:02:57,906 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_xics.metavalue' 2024-03-06 23:02:57,907 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/update_console_tests' 2024-03-06 23:02:57,908 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/branch_alias/Makefile' 2024-03-06 23:02:57,909 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/branch_alias/branch_alias.c' 2024-03-06 23:02:57,910 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/branch_alias/head.S' 2024-03-06 23:02:57,911 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/branch_alias/powerpc.lds' 2024-03-06 23:02:57,912 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/decrementer/Makefile' 2024-03-06 23:02:57,913 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/decrementer/decrementer.c' 2024-03-06 23:02:57,914 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/decrementer/head.S' 2024-03-06 23:02:57,915 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/decrementer/powerpc.lds' 2024-03-06 23:02:57,916 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/fpu/Makefile' 2024-03-06 23:02:57,917 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/fpu/fpu.c' 2024-03-06 23:02:57,919 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/fpu/head.S' 2024-03-06 23:02:57,920 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/fpu/powerpc.lds' 2024-03-06 23:02:57,921 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/illegal/Makefile' 2024-03-06 23:02:57,922 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/illegal/head.S' 2024-03-06 23:02:57,923 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/illegal/illegal.c' 2024-03-06 23:02:57,924 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/illegal/powerpc.lds' 2024-03-06 23:02:57,925 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/misc/Makefile' 2024-03-06 23:02:57,926 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/misc/head.S' 2024-03-06 23:02:57,927 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/misc/misc.c' 2024-03-06 23:02:57,928 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/misc/powerpc.lds' 2024-03-06 23:02:57,929 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/mmu/Makefile' 2024-03-06 23:02:57,930 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/mmu/head.S' 2024-03-06 23:02:57,931 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/mmu/mmu.c' 2024-03-06 23:02:57,932 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/mmu/powerpc.lds' 2024-03-06 23:02:57,933 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/modes/Makefile' 2024-03-06 23:02:57,934 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/modes/head.S' 2024-03-06 23:02:57,935 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/modes/modes.c' 2024-03-06 23:02:57,936 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/modes/powerpc.lds' 2024-03-06 23:02:57,937 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/pmu/Makefile' 2024-03-06 23:02:57,938 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/pmu/head.S' 2024-03-06 23:02:57,939 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/pmu/pmu.c' 2024-03-06 23:02:57,940 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/pmu/powerpc.lds' 2024-03-06 23:02:57,941 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/privileged/Makefile' 2024-03-06 23:02:57,942 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/privileged/head.S' 2024-03-06 23:02:57,943 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/privileged/powerpc.lds' 2024-03-06 23:02:57,944 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/privileged/privileged.c' 2024-03-06 23:02:57,945 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/reservation/Makefile' 2024-03-06 23:02:57,946 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/reservation/head.S' 2024-03-06 23:02:57,947 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/reservation/powerpc.lds' 2024-03-06 23:02:57,948 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/reservation/reservation.c' 2024-03-06 23:02:57,949 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/sc/Makefile' 2024-03-06 23:02:57,950 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/sc/head.S' 2024-03-06 23:02:57,951 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/sc/powerpc.lds' 2024-03-06 23:02:57,952 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/sc/sc.c' 2024-03-06 23:02:57,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/spr_read/Makefile' 2024-03-06 23:02:57,954 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/spr_read/head.S' 2024-03-06 23:02:57,955 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/spr_read/powerpc.lds' 2024-03-06 23:02:57,956 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/spr_read/spr_read.c' 2024-03-06 23:02:57,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/trace/Makefile' 2024-03-06 23:02:57,958 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/trace/head.S' 2024-03-06 23:02:57,959 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/trace/powerpc.lds' 2024-03-06 23:02:57,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/trace/trace.c' 2024-03-06 23:02:57,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/Makefile' 2024-03-06 23:02:57,962 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/head.S' 2024-03-06 23:02:57,963 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/powerpc.lds' 2024-03-06 23:02:57,964 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/xics.c' 2024-03-06 23:02:57,965 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/xics.h' 2024-03-06 23:02:57,966 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/raminfr.v' 2024-03-06 23:02:57,967 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart16550.core' 2024-03-06 23:02:57,968 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_defines.v' 2024-03-06 23:02:57,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_receiver.v' 2024-03-06 23:02:57,971 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_regs.v' 2024-03-06 23:02:57,972 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_rfifo.v' 2024-03-06 23:02:57,973 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_sync_flops.v' 2024-03-06 23:02:57,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_tfifo.v' 2024-03-06 23:02:57,976 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_top.v' 2024-03-06 23:02:57,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_transmitter.v' 2024-03-06 23:02:57,978 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_wb.v' 2024-03-06 23:02:57,980 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/verilator/microwatt-verilator.cpp' 2024-03-06 23:02:57,981 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/verilator/uart-verilator.c' 2024-03-06 23:02:57,982 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/LICENSE' 2024-03-06 23:02:57,983 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/METADATA' 2024-03-06 23:02:57,984 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/WHEEL' 2024-03-06 23:02:57,985 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/top_level.txt' 2024-03-06 23:02:58,052 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/RECORD' 2024-03-06 23:02:58,115 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:02:58,261 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_microwatt-0.0.post1409-py3-none-any.whl pythondata_cpu_microwatt-0.0.post1409-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-minerva 2024-03-06 23:02:59,406 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:02:59,608 root INFO running bdist_wheel 2024-03-06 23:02:59,691 root INFO running build 2024-03-06 23:02:59,691 root INFO running build_py 2024-03-06 23:02:59,703 root INFO creating build 2024-03-06 23:02:59,704 root INFO creating build/lib 2024-03-06 23:02:59,704 root INFO creating build/lib/pythondata_cpu_minerva 2024-03-06 23:02:59,705 root INFO copying pythondata_cpu_minerva/__init__.py -> build/lib/pythondata_cpu_minerva 2024-03-06 23:02:59,707 root INFO creating build/lib/pythondata_cpu_minerva/sources 2024-03-06 23:02:59,708 root INFO copying pythondata_cpu_minerva/sources/setup.py -> build/lib/pythondata_cpu_minerva/sources 2024-03-06 23:02:59,709 root INFO copying pythondata_cpu_minerva/sources/cli.py -> build/lib/pythondata_cpu_minerva/sources 2024-03-06 23:02:59,711 root INFO creating build/lib/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,711 root INFO copying pythondata_cpu_minerva/sources/minerva/stage.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,712 root INFO copying pythondata_cpu_minerva/sources/minerva/core.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,713 root INFO copying pythondata_cpu_minerva/sources/minerva/__init__.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,714 root INFO copying pythondata_cpu_minerva/sources/minerva/gpr.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,715 root INFO copying pythondata_cpu_minerva/sources/minerva/cache.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,716 root INFO copying pythondata_cpu_minerva/sources/minerva/csr.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,717 root INFO copying pythondata_cpu_minerva/sources/minerva/isa.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,718 root INFO copying pythondata_cpu_minerva/sources/minerva/mem.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,719 root INFO copying pythondata_cpu_minerva/sources/minerva/wishbone.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,721 root INFO creating build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-03-06 23:02:59,721 root INFO copying pythondata_cpu_minerva/sources/minerva/test/__init__.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-03-06 23:02:59,722 root INFO copying pythondata_cpu_minerva/sources/minerva/test/test_units_divider.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-03-06 23:02:59,723 root INFO copying pythondata_cpu_minerva/sources/minerva/test/utils.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-03-06 23:02:59,724 root INFO copying pythondata_cpu_minerva/sources/minerva/test/test_cache.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-03-06 23:02:59,725 root INFO copying pythondata_cpu_minerva/sources/minerva/test/test_units_multiplier.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-03-06 23:02:59,727 root INFO creating build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,727 root INFO copying pythondata_cpu_minerva/sources/minerva/units/shifter.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,728 root INFO copying pythondata_cpu_minerva/sources/minerva/units/divider.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,729 root INFO copying pythondata_cpu_minerva/sources/minerva/units/fetch.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,730 root INFO copying pythondata_cpu_minerva/sources/minerva/units/__init__.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,731 root INFO copying pythondata_cpu_minerva/sources/minerva/units/compare.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,732 root INFO copying pythondata_cpu_minerva/sources/minerva/units/loadstore.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,733 root INFO copying pythondata_cpu_minerva/sources/minerva/units/exception.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,734 root INFO copying pythondata_cpu_minerva/sources/minerva/units/logic.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,734 root INFO copying pythondata_cpu_minerva/sources/minerva/units/adder.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,735 root INFO copying pythondata_cpu_minerva/sources/minerva/units/predict.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,736 root INFO copying pythondata_cpu_minerva/sources/minerva/units/trigger.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,737 root INFO copying pythondata_cpu_minerva/sources/minerva/units/multiplier.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,738 root INFO copying pythondata_cpu_minerva/sources/minerva/units/decoder.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,739 root INFO copying pythondata_cpu_minerva/sources/minerva/units/rvficon.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,741 root INFO creating build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,741 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/top.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,742 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/controller.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,743 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/__init__.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,744 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/regfile.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,745 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/wbmaster.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,746 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/dmi.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,747 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/jtag.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,748 root INFO running egg_info 2024-03-06 23:02:59,748 root INFO creating pythondata_cpu_minerva.egg-info 2024-03-06 23:02:59,756 root INFO writing pythondata_cpu_minerva.egg-info/PKG-INFO 2024-03-06 23:02:59,758 root INFO writing dependency_links to pythondata_cpu_minerva.egg-info/dependency_links.txt 2024-03-06 23:02:59,760 root INFO writing top-level names to pythondata_cpu_minerva.egg-info/top_level.txt 2024-03-06 23:02:59,761 root INFO writing manifest file 'pythondata_cpu_minerva.egg-info/SOURCES.txt' 2024-03-06 23:02:59,778 root INFO reading manifest file 'pythondata_cpu_minerva.egg-info/SOURCES.txt' 2024-03-06 23:02:59,780 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:02:59,785 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:02:59,786 root INFO adding license file 'LICENSE' 2024-03-06 23:02:59,794 root INFO writing manifest file 'pythondata_cpu_minerva.egg-info/SOURCES.txt' 2024-03-06 23:02:59,800 root INFO copying pythondata_cpu_minerva/sources/.gitignore -> build/lib/pythondata_cpu_minerva/sources 2024-03-06 23:02:59,801 root INFO copying pythondata_cpu_minerva/sources/LICENSE.txt -> build/lib/pythondata_cpu_minerva/sources 2024-03-06 23:02:59,802 root INFO copying pythondata_cpu_minerva/sources/README.md -> build/lib/pythondata_cpu_minerva/sources 2024-03-06 23:02:59,832 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:02:59,833 root INFO running install 2024-03-06 23:02:59,908 root INFO running install_lib 2024-03-06 23:02:59,918 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:02:59,919 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:02:59,919 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva 2024-03-06 23:02:59,920 root INFO copying build/lib/pythondata_cpu_minerva/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva 2024-03-06 23:02:59,921 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources 2024-03-06 23:02:59,921 root INFO copying build/lib/pythondata_cpu_minerva/sources/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources 2024-03-06 23:02:59,922 root INFO copying build/lib/pythondata_cpu_minerva/sources/setup.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources 2024-03-06 23:02:59,923 root INFO copying build/lib/pythondata_cpu_minerva/sources/cli.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources 2024-03-06 23:02:59,924 root INFO copying build/lib/pythondata_cpu_minerva/sources/LICENSE.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources 2024-03-06 23:02:59,925 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,925 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/stage.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,926 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/core.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,927 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,928 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/gpr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,929 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/cache.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,930 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/csr.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,930 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/isa.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,931 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-03-06 23:02:59,932 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-03-06 23:02:59,933 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/test_units_divider.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-03-06 23:02:59,933 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/utils.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-03-06 23:02:59,934 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/test_cache.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-03-06 23:02:59,935 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/test_units_multiplier.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-03-06 23:02:59,936 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/mem.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,936 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/wishbone.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva 2024-03-06 23:02:59,937 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,938 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/shifter.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,939 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,939 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/top.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,940 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/controller.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,941 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,942 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/regfile.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,942 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/wbmaster.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,943 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/dmi.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,944 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/jtag.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-03-06 23:02:59,945 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/divider.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,945 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/fetch.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,946 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,947 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/compare.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,948 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/loadstore.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,948 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/exception.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,949 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/logic.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,950 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/adder.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,951 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/predict.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,952 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/trigger.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,952 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/multiplier.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,953 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/decoder.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,954 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/rvficon.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-03-06 23:02:59,955 root INFO copying build/lib/pythondata_cpu_minerva/sources/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva/sources 2024-03-06 23:02:59,955 root INFO running install_egg_info 2024-03-06 23:02:59,973 root INFO Copying pythondata_cpu_minerva.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva-0.0.post262-py3.11.egg-info 2024-03-06 23:02:59,977 root INFO running install_scripts 2024-03-06 23:02:59,987 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_minerva-0.0.post262.dist-info/WHEEL 2024-03-06 23:02:59,989 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-_dwxtr3r/pythondata_cpu_minerva-0.0.post262-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:02:59,993 wheel INFO adding 'pythondata_cpu_minerva/__init__.py' 2024-03-06 23:02:59,997 wheel INFO adding 'pythondata_cpu_minerva/sources/.gitignore' 2024-03-06 23:02:59,998 wheel INFO adding 'pythondata_cpu_minerva/sources/LICENSE.txt' 2024-03-06 23:02:59,999 wheel INFO adding 'pythondata_cpu_minerva/sources/README.md' 2024-03-06 23:03:00,001 wheel INFO adding 'pythondata_cpu_minerva/sources/cli.py' 2024-03-06 23:03:00,002 wheel INFO adding 'pythondata_cpu_minerva/sources/setup.py' 2024-03-06 23:03:00,003 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/__init__.py' 2024-03-06 23:03:00,004 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/cache.py' 2024-03-06 23:03:00,005 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/core.py' 2024-03-06 23:03:00,007 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/csr.py' 2024-03-06 23:03:00,008 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/gpr.py' 2024-03-06 23:03:00,009 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/isa.py' 2024-03-06 23:03:00,010 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/mem.py' 2024-03-06 23:03:00,011 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/stage.py' 2024-03-06 23:03:00,012 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/wishbone.py' 2024-03-06 23:03:00,013 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/__init__.py' 2024-03-06 23:03:00,014 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/test_cache.py' 2024-03-06 23:03:00,015 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/test_units_divider.py' 2024-03-06 23:03:00,016 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/test_units_multiplier.py' 2024-03-06 23:03:00,017 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/utils.py' 2024-03-06 23:03:00,019 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/__init__.py' 2024-03-06 23:03:00,020 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/adder.py' 2024-03-06 23:03:00,021 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/compare.py' 2024-03-06 23:03:00,022 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/decoder.py' 2024-03-06 23:03:00,023 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/divider.py' 2024-03-06 23:03:00,024 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/exception.py' 2024-03-06 23:03:00,025 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/fetch.py' 2024-03-06 23:03:00,026 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/loadstore.py' 2024-03-06 23:03:00,027 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/logic.py' 2024-03-06 23:03:00,028 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/multiplier.py' 2024-03-06 23:03:00,029 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/predict.py' 2024-03-06 23:03:00,030 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/rvficon.py' 2024-03-06 23:03:00,031 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/shifter.py' 2024-03-06 23:03:00,032 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/trigger.py' 2024-03-06 23:03:00,033 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/__init__.py' 2024-03-06 23:03:00,034 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/controller.py' 2024-03-06 23:03:00,035 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/dmi.py' 2024-03-06 23:03:00,036 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/jtag.py' 2024-03-06 23:03:00,037 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/regfile.py' 2024-03-06 23:03:00,038 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/top.py' 2024-03-06 23:03:00,039 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/wbmaster.py' 2024-03-06 23:03:00,041 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/LICENSE' 2024-03-06 23:03:00,042 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/METADATA' 2024-03-06 23:03:00,042 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/WHEEL' 2024-03-06 23:03:00,043 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/top_level.txt' 2024-03-06 23:03:00,046 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/RECORD' 2024-03-06 23:03:00,048 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:03:00,053 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_minerva-0.0.post262-py3-none-any.whl pythondata_cpu_minerva-0.0.post262-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-mor1kx 2024-03-06 23:03:01,189 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:03:01,392 root INFO running bdist_wheel 2024-03-06 23:03:01,475 root INFO running build 2024-03-06 23:03:01,476 root INFO running build_py 2024-03-06 23:03:01,488 root INFO creating build 2024-03-06 23:03:01,488 root INFO creating build/lib 2024-03-06 23:03:01,489 root INFO creating build/lib/pythondata_cpu_mor1kx 2024-03-06 23:03:01,489 root INFO copying pythondata_cpu_mor1kx/__init__.py -> build/lib/pythondata_cpu_mor1kx 2024-03-06 23:03:01,494 root INFO running egg_info 2024-03-06 23:03:01,495 root INFO creating pythondata_cpu_mor1kx.egg-info 2024-03-06 23:03:01,502 root INFO writing pythondata_cpu_mor1kx.egg-info/PKG-INFO 2024-03-06 23:03:01,505 root INFO writing dependency_links to pythondata_cpu_mor1kx.egg-info/dependency_links.txt 2024-03-06 23:03:01,507 root INFO writing top-level names to pythondata_cpu_mor1kx.egg-info/top_level.txt 2024-03-06 23:03:01,507 root INFO writing manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' 2024-03-06 23:03:01,524 root INFO reading manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' 2024-03-06 23:03:01,525 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:03:01,532 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:03:01,540 root INFO writing manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' 2024-03-06 23:03:01,555 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,556 root INFO copying pythondata_cpu_mor1kx/verilog/.gitignore -> build/lib/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,557 root INFO copying pythondata_cpu_mor1kx/verilog/.travis.yml -> build/lib/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,558 root INFO copying pythondata_cpu_mor1kx/verilog/Jenkinsfile -> build/lib/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,559 root INFO copying pythondata_cpu_mor1kx/verilog/LICENSE -> build/lib/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,560 root INFO copying pythondata_cpu_mor1kx/verilog/README.md -> build/lib/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,561 root INFO copying pythondata_cpu_mor1kx/verilog/mor1kx.core -> build/lib/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,562 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/.travis 2024-03-06 23:03:01,562 root INFO copying pythondata_cpu_mor1kx/verilog/.travis/run-or1k-tests.sh -> build/lib/pythondata_cpu_mor1kx/verilog/.travis 2024-03-06 23:03:01,563 root INFO copying pythondata_cpu_mor1kx/verilog/.travis/run-verilator.sh -> build/lib/pythondata_cpu_mor1kx/verilog/.travis 2024-03-06 23:03:01,564 root INFO copying pythondata_cpu_mor1kx/verilog/.travis/test.sh -> build/lib/pythondata_cpu_mor1kx/verilog/.travis 2024-03-06 23:03:01,565 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-03-06 23:03:01,565 root INFO copying pythondata_cpu_mor1kx/verilog/doc/Makefile -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-03-06 23:03:01,566 root INFO copying pythondata_cpu_mor1kx/verilog/doc/docbook-xsl.css -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-03-06 23:03:01,567 root INFO copying pythondata_cpu_mor1kx/verilog/doc/docbook.xsl -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-03-06 23:03:01,568 root INFO copying pythondata_cpu_mor1kx/verilog/doc/gen-docinfo.pl -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-03-06 23:03:01,569 root INFO copying pythondata_cpu_mor1kx/verilog/doc/mor1kx.asciidoc -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-03-06 23:03:01,570 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/bench 2024-03-06 23:03:01,571 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-03-06 23:03:01,571 root INFO copying pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_monitor.v -> build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-03-06 23:03:01,572 root INFO copying pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_traceport_monitor.v -> build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-03-06 23:03:01,573 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/rtl 2024-03-06 23:03:01,573 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,574 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-defines.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,575 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-sprs.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,576 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,577 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_prediction.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,578 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_gshare.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,578 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_saturation_counter.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,579 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_simple.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,580 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_bus_if_wb32.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,581 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cache_lru.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,582 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cfgrs.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,583 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,584 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,585 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,586 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,587 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,588 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,590 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,591 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dcache.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,592 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,593 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode_execute_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,593 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dmmu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,594 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_alu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,595 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_ctrl_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,596 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,597 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,598 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,599 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,600 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_icache.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,600 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_immu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,601 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,602 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,603 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pcu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,604 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pic.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,605 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,606 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,607 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_simple_dpram_sclk.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,607 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_store_buffer.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,608 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ticktimer.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,609 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_true_dpram_sclk.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,610 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_utils.vh -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,611 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,612 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,612 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,613 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_addsub.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,614 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_cmp.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,615 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_f2i.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,616 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_i2f.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,616 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_muldiv.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,617 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_rnd.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,618 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_top.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,646 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:03:01,647 root INFO running install 2024-03-06 23:03:01,720 root INFO running install_lib 2024-03-06 23:03:01,730 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:03:01,730 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:03:01,731 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx 2024-03-06 23:03:01,731 root INFO copying build/lib/pythondata_cpu_mor1kx/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx 2024-03-06 23:03:01,732 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,733 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/Jenkinsfile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,734 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,734 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.travis.yml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,735 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/mor1kx.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,736 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,737 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/bench 2024-03-06 23:03:01,737 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-03-06 23:03:01,738 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_traceport_monitor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-03-06 23:03:01,739 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_monitor.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-03-06 23:03:01,740 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl 2024-03-06 23:03:01,740 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,741 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,742 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_espresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,742 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,743 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_simple.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,744 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_espresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,745 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_alu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,746 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,746 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_espresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,747 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_prontoespresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,748 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_utils.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,749 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_immu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,750 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_true_dpram_sclk.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,750 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,751 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cfgrs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,752 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,753 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,753 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_rnd.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,754 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_f2i.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,755 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_i2f.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,756 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,756 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_addsub.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,757 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_cmp.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,758 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_muldiv.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-03-06 23:03:01,759 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_prontoespresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,760 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode_execute_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,760 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dcache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,761 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_icache.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,762 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_ctrl_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,763 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,764 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_simple_dpram_sclk.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,764 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dmmu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,765 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-defines.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,766 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,767 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,768 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,768 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ticktimer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,769 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_cappuccino.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,770 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cache_lru.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,771 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_bus_if_wb32.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,772 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_gshare.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,772 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_espresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,773 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_saturation_counter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,774 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_prontoespresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,775 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,776 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_prediction.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,776 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pcu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,777 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_store_buffer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,778 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_espresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,779 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_espresso.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,779 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-sprs.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-03-06 23:03:01,780 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/.travis 2024-03-06 23:03:01,781 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.travis/run-or1k-tests.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/.travis 2024-03-06 23:03:01,782 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.travis/run-verilator.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/.travis 2024-03-06 23:03:01,782 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.travis/test.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/.travis 2024-03-06 23:03:01,783 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog 2024-03-06 23:03:01,784 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-03-06 23:03:01,785 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/gen-docinfo.pl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-03-06 23:03:01,785 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/docbook.xsl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-03-06 23:03:01,786 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/mor1kx.asciidoc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-03-06 23:03:01,787 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/docbook-xsl.css -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-03-06 23:03:01,787 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-03-06 23:03:01,788 root INFO running install_egg_info 2024-03-06 23:03:01,806 root INFO Copying pythondata_cpu_mor1kx.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx-5.0.post125-py3.11.egg-info 2024-03-06 23:03:01,810 root INFO running install_scripts 2024-03-06 23:03:01,818 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_mor1kx-5.0.post125.dist-info/WHEEL 2024-03-06 23:03:01,821 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-vt0tljor/pythondata_cpu_mor1kx-5.0.post125-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:03:01,824 wheel INFO adding 'pythondata_cpu_mor1kx/__init__.py' 2024-03-06 23:03:01,828 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.gitignore' 2024-03-06 23:03:01,829 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.travis.yml' 2024-03-06 23:03:01,831 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/Jenkinsfile' 2024-03-06 23:03:01,832 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/LICENSE' 2024-03-06 23:03:01,833 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/README.md' 2024-03-06 23:03:01,834 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/mor1kx.core' 2024-03-06 23:03:01,836 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.travis/run-or1k-tests.sh' 2024-03-06 23:03:01,837 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.travis/run-verilator.sh' 2024-03-06 23:03:01,838 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.travis/test.sh' 2024-03-06 23:03:01,839 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_monitor.v' 2024-03-06 23:03:01,840 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_traceport_monitor.v' 2024-03-06 23:03:01,841 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/Makefile' 2024-03-06 23:03:01,842 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/docbook-xsl.css' 2024-03-06 23:03:01,843 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/docbook.xsl' 2024-03-06 23:03:01,844 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/gen-docinfo.pl' 2024-03-06 23:03:01,845 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/mor1kx.asciidoc' 2024-03-06 23:03:01,847 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-defines.v' 2024-03-06 23:03:01,848 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-sprs.v' 2024-03-06 23:03:01,849 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx.v' 2024-03-06 23:03:01,850 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_prediction.v' 2024-03-06 23:03:01,851 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_gshare.v' 2024-03-06 23:03:01,852 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_saturation_counter.v' 2024-03-06 23:03:01,853 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_simple.v' 2024-03-06 23:03:01,854 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_bus_if_wb32.v' 2024-03-06 23:03:01,855 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cache_lru.v' 2024-03-06 23:03:01,856 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cfgrs.v' 2024-03-06 23:03:01,858 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu.v' 2024-03-06 23:03:01,859 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_cappuccino.v' 2024-03-06 23:03:01,862 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_espresso.v' 2024-03-06 23:03:01,863 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_prontoespresso.v' 2024-03-06 23:03:01,865 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_cappuccino.v' 2024-03-06 23:03:01,867 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_espresso.v' 2024-03-06 23:03:01,869 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_prontoespresso.v' 2024-03-06 23:03:01,870 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dcache.v' 2024-03-06 23:03:01,872 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode.v' 2024-03-06 23:03:01,873 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode_execute_cappuccino.v' 2024-03-06 23:03:01,874 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dmmu.v' 2024-03-06 23:03:01,876 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_alu.v' 2024-03-06 23:03:01,877 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_ctrl_cappuccino.v' 2024-03-06 23:03:01,878 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_cappuccino.v' 2024-03-06 23:03:01,879 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_espresso.v' 2024-03-06 23:03:01,881 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_prontoespresso.v' 2024-03-06 23:03:01,882 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v' 2024-03-06 23:03:01,883 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_icache.v' 2024-03-06 23:03:01,884 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_immu.v' 2024-03-06 23:03:01,886 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_cappuccino.v' 2024-03-06 23:03:01,887 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_espresso.v' 2024-03-06 23:03:01,888 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pcu.v' 2024-03-06 23:03:01,889 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pic.v' 2024-03-06 23:03:01,890 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_cappuccino.v' 2024-03-06 23:03:01,891 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_espresso.v' 2024-03-06 23:03:01,892 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_simple_dpram_sclk.v' 2024-03-06 23:03:01,893 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_store_buffer.v' 2024-03-06 23:03:01,894 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ticktimer.v' 2024-03-06 23:03:01,895 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_true_dpram_sclk.v' 2024-03-06 23:03:01,896 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_utils.vh' 2024-03-06 23:03:01,897 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_cappuccino.v' 2024-03-06 23:03:01,898 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_espresso.v' 2024-03-06 23:03:01,899 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_addsub.v' 2024-03-06 23:03:01,900 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_cmp.v' 2024-03-06 23:03:01,901 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_f2i.v' 2024-03-06 23:03:01,902 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_i2f.v' 2024-03-06 23:03:01,903 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_muldiv.v' 2024-03-06 23:03:01,905 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_rnd.v' 2024-03-06 23:03:01,906 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_top.v' 2024-03-06 23:03:01,908 wheel INFO adding 'pythondata_cpu_mor1kx-5.0.post125.dist-info/METADATA' 2024-03-06 23:03:01,909 wheel INFO adding 'pythondata_cpu_mor1kx-5.0.post125.dist-info/WHEEL' 2024-03-06 23:03:01,909 wheel INFO adding 'pythondata_cpu_mor1kx-5.0.post125.dist-info/top_level.txt' 2024-03-06 23:03:01,913 wheel INFO adding 'pythondata_cpu_mor1kx-5.0.post125.dist-info/RECORD' 2024-03-06 23:03:01,915 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:03:01,921 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_mor1kx-5.0.post125-py3-none-any.whl pythondata_cpu_mor1kx-5.0.post125-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-naxriscv 2024-03-06 23:03:03,061 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:03:03,261 root INFO running bdist_wheel 2024-03-06 23:03:03,343 root INFO running build 2024-03-06 23:03:03,344 root INFO running build_py 2024-03-06 23:03:03,356 root INFO creating build 2024-03-06 23:03:03,356 root INFO creating build/lib 2024-03-06 23:03:03,357 root INFO creating build/lib/pythondata_cpu_naxriscv 2024-03-06 23:03:03,357 root INFO copying pythondata_cpu_naxriscv/__init__.py -> build/lib/pythondata_cpu_naxriscv 2024-03-06 23:03:03,360 root INFO running egg_info 2024-03-06 23:03:03,360 root INFO creating pythondata_cpu_naxriscv.egg-info 2024-03-06 23:03:03,368 root INFO writing pythondata_cpu_naxriscv.egg-info/PKG-INFO 2024-03-06 23:03:03,370 root INFO writing dependency_links to pythondata_cpu_naxriscv.egg-info/dependency_links.txt 2024-03-06 23:03:03,372 root INFO writing top-level names to pythondata_cpu_naxriscv.egg-info/top_level.txt 2024-03-06 23:03:03,372 root INFO writing manifest file 'pythondata_cpu_naxriscv.egg-info/SOURCES.txt' 2024-03-06 23:03:03,384 root INFO reading manifest file 'pythondata_cpu_naxriscv.egg-info/SOURCES.txt' 2024-03-06 23:03:03,385 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:03:03,389 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:03:03,393 root INFO writing manifest file 'pythondata_cpu_naxriscv.egg-info/SOURCES.txt' 2024-03-06 23:03:03,397 root INFO creating build/lib/pythondata_cpu_naxriscv/verilog 2024-03-06 23:03:03,398 root INFO copying pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Efinix.v -> build/lib/pythondata_cpu_naxriscv/verilog 2024-03-06 23:03:03,399 root INFO copying pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Generic.v -> build/lib/pythondata_cpu_naxriscv/verilog 2024-03-06 23:03:03,400 root INFO copying pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Intel.v -> build/lib/pythondata_cpu_naxriscv/verilog 2024-03-06 23:03:03,401 root INFO creating build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,401 root INFO copying pythondata_cpu_naxriscv/verilog/configs/branch_predictor_std.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,402 root INFO copying pythondata_cpu_naxriscv/verilog/configs/eu_1alu_1share.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,403 root INFO copying pythondata_cpu_naxriscv/verilog/configs/eu_2alu_1share.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,404 root INFO copying pythondata_cpu_naxriscv/verilog/configs/fetch.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,405 root INFO copying pythondata_cpu_naxriscv/verilog/configs/frontend.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,406 root INFO copying pythondata_cpu_naxriscv/verilog/configs/gen.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,407 root INFO copying pythondata_cpu_naxriscv/verilog/configs/lsu.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,408 root INFO copying pythondata_cpu_naxriscv/verilog/configs/misc.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,409 root INFO copying pythondata_cpu_naxriscv/verilog/configs/single_decode_retire.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,434 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:03:03,435 root INFO running install 2024-03-06 23:03:03,510 root INFO running install_lib 2024-03-06 23:03:03,520 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:03:03,521 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:03:03,521 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv 2024-03-06 23:03:03,522 root INFO copying build/lib/pythondata_cpu_naxriscv/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv 2024-03-06 23:03:03,523 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog 2024-03-06 23:03:03,523 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Efinix.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog 2024-03-06 23:03:03,524 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,525 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/fetch.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,526 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/eu_1alu_1share.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,526 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/single_decode_retire.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,527 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/branch_predictor_std.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,528 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/frontend.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,529 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/gen.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,530 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/lsu.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,531 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/eu_2alu_1share.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,531 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/misc.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-03-06 23:03:03,532 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Generic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog 2024-03-06 23:03:03,533 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Intel.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv/verilog 2024-03-06 23:03:03,534 root INFO running install_egg_info 2024-03-06 23:03:03,551 root INFO Copying pythondata_cpu_naxriscv.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv-1.0.1.post325-py3.11.egg-info 2024-03-06 23:03:03,556 root INFO running install_scripts 2024-03-06 23:03:03,563 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_naxriscv-1.0.1.post325.dist-info/WHEEL 2024-03-06 23:03:03,566 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-0wanit96/pythondata_cpu_naxriscv-1.0.1.post325-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:03:03,569 wheel INFO adding 'pythondata_cpu_naxriscv/__init__.py' 2024-03-06 23:03:03,573 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Efinix.v' 2024-03-06 23:03:03,574 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Generic.v' 2024-03-06 23:03:03,575 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Intel.v' 2024-03-06 23:03:03,577 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/branch_predictor_std.scala' 2024-03-06 23:03:03,578 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/eu_1alu_1share.scala' 2024-03-06 23:03:03,579 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/eu_2alu_1share.scala' 2024-03-06 23:03:03,580 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/fetch.scala' 2024-03-06 23:03:03,581 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/frontend.scala' 2024-03-06 23:03:03,582 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/gen.scala' 2024-03-06 23:03:03,583 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/lsu.scala' 2024-03-06 23:03:03,584 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/misc.scala' 2024-03-06 23:03:03,584 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/single_decode_retire.scala' 2024-03-06 23:03:03,586 wheel INFO adding 'pythondata_cpu_naxriscv-1.0.1.post325.dist-info/METADATA' 2024-03-06 23:03:03,587 wheel INFO adding 'pythondata_cpu_naxriscv-1.0.1.post325.dist-info/WHEEL' 2024-03-06 23:03:03,587 wheel INFO adding 'pythondata_cpu_naxriscv-1.0.1.post325.dist-info/top_level.txt' 2024-03-06 23:03:03,590 wheel INFO adding 'pythondata_cpu_naxriscv-1.0.1.post325.dist-info/RECORD' 2024-03-06 23:03:03,591 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:03:03,594 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_naxriscv-1.0.1.post325-py3-none-any.whl pythondata_cpu_naxriscv-1.0.1.post325-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-picorv32 2024-03-06 23:03:04,725 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:03:04,931 root INFO running bdist_wheel 2024-03-06 23:03:05,014 root INFO running build 2024-03-06 23:03:05,014 root INFO running build_py 2024-03-06 23:03:05,026 root INFO creating build 2024-03-06 23:03:05,027 root INFO creating build/lib 2024-03-06 23:03:05,027 root INFO creating build/lib/pythondata_cpu_picorv32 2024-03-06 23:03:05,028 root INFO copying pythondata_cpu_picorv32/__init__.py -> build/lib/pythondata_cpu_picorv32 2024-03-06 23:03:05,030 root INFO creating build/lib/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,030 root INFO copying pythondata_cpu_picorv32/verilog/showtrace.py -> build/lib/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,033 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,034 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/performance.py -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,036 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,036 root INFO copying pythondata_cpu_picorv32/verilog/firmware/makehex.py -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,041 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts 2024-03-06 23:03:05,042 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,042 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/asmcheck.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,043 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/config.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,045 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,045 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/map2debug.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,046 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/hex8tohex32.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,048 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,049 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/hex8tohex32.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,051 root INFO running egg_info 2024-03-06 23:03:05,051 root INFO creating pythondata_cpu_picorv32.egg-info 2024-03-06 23:03:05,059 root INFO writing pythondata_cpu_picorv32.egg-info/PKG-INFO 2024-03-06 23:03:05,061 root INFO writing dependency_links to pythondata_cpu_picorv32.egg-info/dependency_links.txt 2024-03-06 23:03:05,063 root INFO writing top-level names to pythondata_cpu_picorv32.egg-info/top_level.txt 2024-03-06 23:03:05,063 root INFO writing manifest file 'pythondata_cpu_picorv32.egg-info/SOURCES.txt' 2024-03-06 23:03:05,091 root INFO reading manifest file 'pythondata_cpu_picorv32.egg-info/SOURCES.txt' 2024-03-06 23:03:05,092 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:03:05,112 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:03:05,135 root INFO writing manifest file 'pythondata_cpu_picorv32.egg-info/SOURCES.txt' 2024-03-06 23:03:05,180 root INFO copying pythondata_cpu_picorv32/verilog/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,181 root INFO copying pythondata_cpu_picorv32/verilog/Makefile -> build/lib/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,182 root INFO copying pythondata_cpu_picorv32/verilog/README.md -> build/lib/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,183 root INFO copying pythondata_cpu_picorv32/verilog/picorv32.core -> build/lib/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,184 root INFO copying pythondata_cpu_picorv32/verilog/picorv32.v -> build/lib/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,185 root INFO copying pythondata_cpu_picorv32/verilog/shell.nix -> build/lib/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,186 root INFO copying pythondata_cpu_picorv32/verilog/testbench.cc -> build/lib/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,187 root INFO copying pythondata_cpu_picorv32/verilog/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,188 root INFO copying pythondata_cpu_picorv32/verilog/testbench_ez.v -> build/lib/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,189 root INFO copying pythondata_cpu_picorv32/verilog/testbench_wb.v -> build/lib/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,190 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,190 root INFO copying pythondata_cpu_picorv32/verilog/tests/LICENSE -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,191 root INFO copying pythondata_cpu_picorv32/verilog/tests/README -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,192 root INFO copying pythondata_cpu_picorv32/verilog/tests/add.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,193 root INFO copying pythondata_cpu_picorv32/verilog/tests/addi.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,194 root INFO copying pythondata_cpu_picorv32/verilog/tests/and.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,195 root INFO copying pythondata_cpu_picorv32/verilog/tests/andi.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,196 root INFO copying pythondata_cpu_picorv32/verilog/tests/auipc.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,197 root INFO copying pythondata_cpu_picorv32/verilog/tests/beq.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,197 root INFO copying pythondata_cpu_picorv32/verilog/tests/bge.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,198 root INFO copying pythondata_cpu_picorv32/verilog/tests/bgeu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,199 root INFO copying pythondata_cpu_picorv32/verilog/tests/blt.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,200 root INFO copying pythondata_cpu_picorv32/verilog/tests/bltu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,201 root INFO copying pythondata_cpu_picorv32/verilog/tests/bne.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,202 root INFO copying pythondata_cpu_picorv32/verilog/tests/div.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,203 root INFO copying pythondata_cpu_picorv32/verilog/tests/divu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,204 root INFO copying pythondata_cpu_picorv32/verilog/tests/j.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,205 root INFO copying pythondata_cpu_picorv32/verilog/tests/jal.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,206 root INFO copying pythondata_cpu_picorv32/verilog/tests/jalr.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,207 root INFO copying pythondata_cpu_picorv32/verilog/tests/lb.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,207 root INFO copying pythondata_cpu_picorv32/verilog/tests/lbu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,208 root INFO copying pythondata_cpu_picorv32/verilog/tests/lh.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,209 root INFO copying pythondata_cpu_picorv32/verilog/tests/lhu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,210 root INFO copying pythondata_cpu_picorv32/verilog/tests/lui.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,211 root INFO copying pythondata_cpu_picorv32/verilog/tests/lw.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,212 root INFO copying pythondata_cpu_picorv32/verilog/tests/mul.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,213 root INFO copying pythondata_cpu_picorv32/verilog/tests/mulh.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,214 root INFO copying pythondata_cpu_picorv32/verilog/tests/mulhsu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,215 root INFO copying pythondata_cpu_picorv32/verilog/tests/mulhu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,216 root INFO copying pythondata_cpu_picorv32/verilog/tests/or.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,217 root INFO copying pythondata_cpu_picorv32/verilog/tests/ori.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,217 root INFO copying pythondata_cpu_picorv32/verilog/tests/rem.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,218 root INFO copying pythondata_cpu_picorv32/verilog/tests/remu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,219 root INFO copying pythondata_cpu_picorv32/verilog/tests/riscv_test.h -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,220 root INFO copying pythondata_cpu_picorv32/verilog/tests/sb.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,221 root INFO copying pythondata_cpu_picorv32/verilog/tests/sh.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,222 root INFO copying pythondata_cpu_picorv32/verilog/tests/simple.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,222 root INFO copying pythondata_cpu_picorv32/verilog/tests/sll.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,223 root INFO copying pythondata_cpu_picorv32/verilog/tests/slli.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,224 root INFO copying pythondata_cpu_picorv32/verilog/tests/slt.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,225 root INFO copying pythondata_cpu_picorv32/verilog/tests/slti.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,226 root INFO copying pythondata_cpu_picorv32/verilog/tests/sra.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,227 root INFO copying pythondata_cpu_picorv32/verilog/tests/srai.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,228 root INFO copying pythondata_cpu_picorv32/verilog/tests/srl.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,228 root INFO copying pythondata_cpu_picorv32/verilog/tests/srli.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,229 root INFO copying pythondata_cpu_picorv32/verilog/tests/sub.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,230 root INFO copying pythondata_cpu_picorv32/verilog/tests/sw.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,231 root INFO copying pythondata_cpu_picorv32/verilog/tests/test_macros.h -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,232 root INFO copying pythondata_cpu_picorv32/verilog/tests/xor.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,233 root INFO copying pythondata_cpu_picorv32/verilog/tests/xori.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,234 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,234 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,235 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/README.md -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,236 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,237 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.core -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,238 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.pcf -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,239 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,240 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,240 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/ice40up5k_spram.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,241 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/icebreaker.core -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,242 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/icebreaker.pcf -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,243 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/icebreaker.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,244 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/icebreaker_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,245 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/overview.svg -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,245 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/performance.png -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,246 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/picosoc.core -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,247 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/picosoc.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,248 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,249 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/simpleuart.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,250 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/spiflash.core -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,251 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/spiflash.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,251 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/spiflash_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,252 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/spimemio.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,253 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/start.s -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,254 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,254 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,255 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/README -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,256 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/dhry.h -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,257 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/dhry_1.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,258 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/dhry_1_orig.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,259 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/dhry_2.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,260 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,260 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/start.S -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,261 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/stdlib.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,262 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/syscalls.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,263 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,264 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/testbench_nola.v -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,265 root INFO copying pythondata_cpu_picorv32/verilog/firmware/README -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,265 root INFO copying pythondata_cpu_picorv32/verilog/firmware/custom_ops.S -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,266 root INFO copying pythondata_cpu_picorv32/verilog/firmware/firmware.h -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,267 root INFO copying pythondata_cpu_picorv32/verilog/firmware/hello.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,268 root INFO copying pythondata_cpu_picorv32/verilog/firmware/irq.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,269 root INFO copying pythondata_cpu_picorv32/verilog/firmware/multest.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,270 root INFO copying pythondata_cpu_picorv32/verilog/firmware/print.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,270 root INFO copying pythondata_cpu_picorv32/verilog/firmware/riscv.ld -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,271 root INFO copying pythondata_cpu_picorv32/verilog/firmware/riscv.ld.orig -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,272 root INFO copying pythondata_cpu_picorv32/verilog/firmware/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,273 root INFO copying pythondata_cpu_picorv32/verilog/firmware/sieve.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,274 root INFO copying pythondata_cpu_picorv32/verilog/firmware/start.S -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,275 root INFO copying pythondata_cpu_picorv32/verilog/firmware/stats.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,276 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,276 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,277 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.lib -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,278 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,279 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,279 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_osu018.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,280 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_sim.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,281 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,282 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,282 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,283 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/riscv-isa-sim.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,284 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/start.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,285 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/syscalls.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,286 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.cc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,287 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,287 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,288 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,289 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,290 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,291 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,291 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,292 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area.sdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,293 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_large.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,294 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_regular.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,295 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_small.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,296 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_top.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,296 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,297 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.sdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,298 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,299 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.sdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,300 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,301 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/system.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,301 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/system_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,302 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/table.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,303 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,304 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,305 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,305 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,306 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,307 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,308 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,309 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,310 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,310 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.xdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,311 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_large.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,312 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_regular.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,313 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_small.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,314 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_top.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,315 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,315 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.xdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,316 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,317 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.xdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,318 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/system.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,319 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/system_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,320 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/table.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,321 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,321 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,322 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,323 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/README.md -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,324 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/lse.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,324 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/synplify.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,325 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/vivado.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,326 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_ice40.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,327 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_xilinx.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,328 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,328 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,329 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/README -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,330 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/run.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,331 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,332 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/start.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,332 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,333 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,334 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,335 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/README -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,336 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-notrap.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,337 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-sbreak.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,338 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-genloop.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,338 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-rv32.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,339 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv_test.h -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,340 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,341 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/test.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,342 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/testbench.cc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,343 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,344 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,344 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,345 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,346 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/sections.ld -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,347 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/start.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,348 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/syscalls.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,349 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,349 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,350 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,351 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,352 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/example.pcf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,352 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/example.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,353 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/example_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,354 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,355 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,356 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,357 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/readme.md -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,358 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,358 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,359 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,360 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/README -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,361 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,361 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,362 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,363 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_presyn.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,364 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_regs.txt -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,365 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,366 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,366 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,367 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/firmware.cc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,368 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,369 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.ld -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,370 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/syscalls.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,371 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,371 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,372 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,373 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,374 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,375 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,375 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.smtc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,376 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,377 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,378 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,379 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,380 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,380 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/opcode.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,381 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.gtkw -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,382 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,383 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.smtc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,384 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,385 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,386 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.smtc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,386 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,387 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,388 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,423 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:03:05,424 root INFO running install 2024-03-06 23:03:05,501 root INFO running install_lib 2024-03-06 23:03:05,511 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:03:05,511 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:03:05,512 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32 2024-03-06 23:03:05,512 root INFO copying build/lib/pythondata_cpu_picorv32/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32 2024-03-06 23:03:05,513 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,514 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/testbench_wb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,514 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/testbench.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,515 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/testbench_ez.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,516 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,517 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,517 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/andi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,518 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sub.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,519 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/auipc.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,520 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/j.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,521 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/riscv_test.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,521 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/xor.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,522 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,523 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/bltu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,523 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lbu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,524 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/bgeu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,525 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/slli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,526 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/div.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,526 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/add.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,527 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,528 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/slt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,529 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sra.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,529 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/xori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,530 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lui.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,531 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/divu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,532 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/srli.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,532 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,533 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/beq.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,534 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,535 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,535 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/bge.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,536 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/mulhu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,537 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/blt.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,538 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/remu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,538 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/srl.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,539 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/and.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,540 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/test_macros.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,541 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/rem.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,541 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/mulh.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,542 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/slti.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,543 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,544 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/srai.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,544 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/mulhsu.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,545 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/mul.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,546 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/simple.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,547 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sb.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,547 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sll.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,548 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/bne.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,549 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lw.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,549 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/jal.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,550 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/jalr.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,551 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/or.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,552 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/addi.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,552 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/ori.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,553 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-03-06 23:03:05,554 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,555 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picorv32.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,555 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picorv32.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,556 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/showtrace.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,557 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,558 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/firmware.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,559 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/icebreaker.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,559 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/spiflash.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,560 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/ice40up5k_spram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,561 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/performance.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,562 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,562 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/overview.svg -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,563 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/performance.png -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,564 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/picosoc.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,565 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,566 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,566 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/icebreaker.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,567 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,568 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/spimemio.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,569 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/picosoc.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,569 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/icebreaker_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,570 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/simpleuart.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,571 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,572 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/icebreaker.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,572 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/start.s -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,573 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/spiflash_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,574 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/sections.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,575 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/spiflash.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,575 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,576 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-03-06 23:03:05,577 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,577 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/testbench_nola.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,578 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/dhry_1_orig.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,579 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/dhry.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,580 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/dhry_1.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,581 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,581 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,582 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/dhry_2.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,583 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/sections.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,584 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,584 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/stdlib.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,585 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,586 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-03-06 23:03:05,587 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/shell.nix -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,587 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,588 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,589 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/makehex.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,590 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/firmware.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,590 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/print.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,591 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/irq.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,592 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/custom_ops.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,593 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/riscv.ld.orig -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,593 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/hello.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,594 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/sections.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,595 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/stats.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,596 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,596 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/sieve.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,597 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/riscv.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,598 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,599 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/multest.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-03-06 23:03:05,599 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog 2024-03-06 23:03:05,600 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts 2024-03-06 23:03:05,601 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,601 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_sim.ys -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,602 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,603 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_osu018.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,604 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.lib -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,604 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,605 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.ys -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-03-06 23:03:05,606 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,607 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,607 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,608 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,609 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,610 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,610 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/riscv-isa-sim.diff -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,611 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-03-06 23:03:05,612 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,612 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,613 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,614 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/system_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,615 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,615 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.qsf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,616 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/system.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,617 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,618 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_regular.qsf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,618 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,619 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_small.qsf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,620 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,621 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,621 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_large.qsf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,622 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,623 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.qsf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,624 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,624 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,625 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/table.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,626 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,626 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-03-06 23:03:05,627 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,628 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,629 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_regular.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,629 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/system_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,630 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,631 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/system.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,632 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,632 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,633 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,634 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,635 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,635 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,636 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_large.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,637 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,638 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,638 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,639 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,640 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/table.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,641 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,641 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_small.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,642 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-03-06 23:03:05,643 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,644 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_xilinx.ys -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,644 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/lse.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,645 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/synplify.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,646 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/vivado.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,647 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_ice40.ys -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,647 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-03-06 23:03:05,648 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,649 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,649 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,650 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/sections.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,651 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,652 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/run.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,653 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-03-06 23:03:05,653 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,654 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv_test.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,655 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-rv32.diff -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,656 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/testbench.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,656 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-notrap.diff -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,657 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,658 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/asmcheck.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,658 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,659 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-genloop.diff -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,660 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/sections.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,661 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/config.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,662 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,662 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,663 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-sbreak.diff -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,664 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/test.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-03-06 23:03:05,665 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,665 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/firmware.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,666 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/map2debug.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,667 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/hex8tohex32.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,667 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,668 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,669 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,670 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,670 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/sections.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,671 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-03-06 23:03:05,672 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,673 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/example.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,673 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,674 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/example_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,675 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,676 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/example.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,676 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,677 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,678 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/readme.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,679 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-03-06 23:03:05,679 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,680 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,681 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,681 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,682 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.lds -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,683 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_presyn.ys -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,684 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,685 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_regs.txt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,685 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/README -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,686 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-03-06 23:03:05,687 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,687 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/hex8tohex32.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,688 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/firmware.cc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,689 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,690 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/syscalls.c -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,690 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/testbench.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,691 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,692 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,693 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-03-06 23:03:05,694 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,694 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,695 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,696 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,696 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,697 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,698 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,699 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,699 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.smtc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,700 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.gtkw -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,701 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.smtc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,702 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,702 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,703 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,704 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,705 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/opcode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,706 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,706 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.smtc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,707 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,708 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,708 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-03-06 23:03:05,709 root INFO running install_egg_info 2024-03-06 23:03:05,727 root INFO Copying pythondata_cpu_picorv32.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32-1.0.post88-py3.11.egg-info 2024-03-06 23:03:05,731 root INFO running install_scripts 2024-03-06 23:03:05,740 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_picorv32-1.0.post88.dist-info/WHEEL 2024-03-06 23:03:05,742 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-wdhdc4vh/pythondata_cpu_picorv32-1.0.post88-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:03:05,745 wheel INFO adding 'pythondata_cpu_picorv32/__init__.py' 2024-03-06 23:03:05,749 wheel INFO adding 'pythondata_cpu_picorv32/verilog/.gitignore' 2024-03-06 23:03:05,750 wheel INFO adding 'pythondata_cpu_picorv32/verilog/Makefile' 2024-03-06 23:03:05,752 wheel INFO adding 'pythondata_cpu_picorv32/verilog/README.md' 2024-03-06 23:03:05,753 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picorv32.core' 2024-03-06 23:03:05,755 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picorv32.v' 2024-03-06 23:03:05,757 wheel INFO adding 'pythondata_cpu_picorv32/verilog/shell.nix' 2024-03-06 23:03:05,758 wheel INFO adding 'pythondata_cpu_picorv32/verilog/showtrace.py' 2024-03-06 23:03:05,759 wheel INFO adding 'pythondata_cpu_picorv32/verilog/testbench.cc' 2024-03-06 23:03:05,760 wheel INFO adding 'pythondata_cpu_picorv32/verilog/testbench.v' 2024-03-06 23:03:05,761 wheel INFO adding 'pythondata_cpu_picorv32/verilog/testbench_ez.v' 2024-03-06 23:03:05,762 wheel INFO adding 'pythondata_cpu_picorv32/verilog/testbench_wb.v' 2024-03-06 23:03:05,763 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/Makefile' 2024-03-06 23:03:05,764 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/README' 2024-03-06 23:03:05,765 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/dhry.h' 2024-03-06 23:03:05,767 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/dhry_1.c' 2024-03-06 23:03:05,768 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/dhry_1_orig.c' 2024-03-06 23:03:05,769 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/dhry_2.c' 2024-03-06 23:03:05,770 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/sections.lds' 2024-03-06 23:03:05,771 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/start.S' 2024-03-06 23:03:05,772 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/stdlib.c' 2024-03-06 23:03:05,773 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/syscalls.c' 2024-03-06 23:03:05,774 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/testbench.v' 2024-03-06 23:03:05,775 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/testbench_nola.v' 2024-03-06 23:03:05,776 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/README' 2024-03-06 23:03:05,777 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/custom_ops.S' 2024-03-06 23:03:05,778 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/firmware.h' 2024-03-06 23:03:05,779 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/hello.c' 2024-03-06 23:03:05,780 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/irq.c' 2024-03-06 23:03:05,781 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/makehex.py' 2024-03-06 23:03:05,781 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/multest.c' 2024-03-06 23:03:05,782 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/print.c' 2024-03-06 23:03:05,783 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/riscv.ld' 2024-03-06 23:03:05,784 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/riscv.ld.orig' 2024-03-06 23:03:05,786 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/sections.lds' 2024-03-06 23:03:05,786 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/sieve.c' 2024-03-06 23:03:05,787 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/start.S' 2024-03-06 23:03:05,788 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/stats.c' 2024-03-06 23:03:05,790 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/.gitignore' 2024-03-06 23:03:05,791 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/Makefile' 2024-03-06 23:03:05,792 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/README.md' 2024-03-06 23:03:05,793 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/firmware.c' 2024-03-06 23:03:05,794 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.core' 2024-03-06 23:03:05,795 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.pcf' 2024-03-06 23:03:05,796 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.v' 2024-03-06 23:03:05,797 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo_tb.v' 2024-03-06 23:03:05,798 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/ice40up5k_spram.v' 2024-03-06 23:03:05,799 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/icebreaker.core' 2024-03-06 23:03:05,799 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/icebreaker.pcf' 2024-03-06 23:03:05,800 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/icebreaker.v' 2024-03-06 23:03:05,801 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/icebreaker_tb.v' 2024-03-06 23:03:05,803 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/overview.svg' 2024-03-06 23:03:05,804 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/performance.png' 2024-03-06 23:03:05,806 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/performance.py' 2024-03-06 23:03:05,807 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/picosoc.core' 2024-03-06 23:03:05,808 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/picosoc.v' 2024-03-06 23:03:05,809 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/sections.lds' 2024-03-06 23:03:05,810 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/simpleuart.v' 2024-03-06 23:03:05,811 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/spiflash.core' 2024-03-06 23:03:05,812 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/spiflash.v' 2024-03-06 23:03:05,813 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/spiflash_tb.v' 2024-03-06 23:03:05,814 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/spimemio.v' 2024-03-06 23:03:05,815 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/start.s' 2024-03-06 23:03:05,817 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/.gitignore' 2024-03-06 23:03:05,818 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/Makefile' 2024-03-06 23:03:05,819 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/riscv-isa-sim.diff' 2024-03-06 23:03:05,820 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/start.S' 2024-03-06 23:03:05,820 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/syscalls.c' 2024-03-06 23:03:05,821 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.cc' 2024-03-06 23:03:05,822 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.v' 2024-03-06 23:03:05,823 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/.gitignore' 2024-03-06 23:03:05,824 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/Makefile' 2024-03-06 23:03:05,825 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/firmware.cc' 2024-03-06 23:03:05,826 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/hex8tohex32.py' 2024-03-06 23:03:05,827 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.S' 2024-03-06 23:03:05,828 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.ld' 2024-03-06 23:03:05,829 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/syscalls.c' 2024-03-06 23:03:05,830 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/testbench.v' 2024-03-06 23:03:05,831 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/.gitignore' 2024-03-06 23:03:05,832 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/Makefile' 2024-03-06 23:03:05,833 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/example.pcf' 2024-03-06 23:03:05,834 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/example.v' 2024-03-06 23:03:05,835 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/example_tb.v' 2024-03-06 23:03:05,836 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.S' 2024-03-06 23:03:05,837 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.c' 2024-03-06 23:03:05,838 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.lds' 2024-03-06 23:03:05,839 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/readme.md' 2024-03-06 23:03:05,840 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/.gitignore' 2024-03-06 23:03:05,841 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/Makefile' 2024-03-06 23:03:05,842 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/README' 2024-03-06 23:03:05,843 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.S' 2024-03-06 23:03:05,844 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.c' 2024-03-06 23:03:05,844 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.lds' 2024-03-06 23:03:05,846 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_presyn.ys' 2024-03-06 23:03:05,847 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_regs.txt' 2024-03-06 23:03:05,848 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/testbench.v' 2024-03-06 23:03:05,849 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/.gitignore' 2024-03-06 23:03:05,850 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/Makefile' 2024-03-06 23:03:05,851 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.S' 2024-03-06 23:03:05,852 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.c' 2024-03-06 23:03:05,853 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.lds' 2024-03-06 23:03:05,854 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area.sdc' 2024-03-06 23:03:05,855 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_large.qsf' 2024-03-06 23:03:05,856 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_regular.qsf' 2024-03-06 23:03:05,856 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_small.qsf' 2024-03-06 23:03:05,857 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_top.v' 2024-03-06 23:03:05,858 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.qsf' 2024-03-06 23:03:05,859 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.sdc' 2024-03-06 23:03:05,860 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.qsf' 2024-03-06 23:03:05,861 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.sdc' 2024-03-06 23:03:05,862 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.tcl' 2024-03-06 23:03:05,863 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/system.v' 2024-03-06 23:03:05,864 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/system_tb.v' 2024-03-06 23:03:05,865 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/table.sh' 2024-03-06 23:03:05,866 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.sh' 2024-03-06 23:03:05,867 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.v' 2024-03-06 23:03:05,868 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/.gitignore' 2024-03-06 23:03:05,869 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/Makefile' 2024-03-06 23:03:05,870 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/firmware.c' 2024-03-06 23:03:05,871 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/hex8tohex32.py' 2024-03-06 23:03:05,872 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/map2debug.py' 2024-03-06 23:03:05,873 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/sections.ld' 2024-03-06 23:03:05,874 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/start.S' 2024-03-06 23:03:05,875 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/syscalls.c' 2024-03-06 23:03:05,876 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/testbench.v' 2024-03-06 23:03:05,877 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/.gitignore' 2024-03-06 23:03:05,878 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.sh' 2024-03-06 23:03:05,879 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.v' 2024-03-06 23:03:05,880 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.sh' 2024-03-06 23:03:05,881 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.smtc' 2024-03-06 23:03:05,882 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.v' 2024-03-06 23:03:05,883 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.sh' 2024-03-06 23:03:05,884 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.v' 2024-03-06 23:03:05,884 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.sh' 2024-03-06 23:03:05,885 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.v' 2024-03-06 23:03:05,886 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/opcode.v' 2024-03-06 23:03:05,887 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.gtkw' 2024-03-06 23:03:05,888 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.sh' 2024-03-06 23:03:05,889 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.smtc' 2024-03-06 23:03:05,890 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.v' 2024-03-06 23:03:05,891 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.sh' 2024-03-06 23:03:05,892 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.smtc' 2024-03-06 23:03:05,893 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.v' 2024-03-06 23:03:05,894 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.sh' 2024-03-06 23:03:05,895 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.v' 2024-03-06 23:03:05,896 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/.gitignore' 2024-03-06 23:03:05,897 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/README' 2024-03-06 23:03:05,898 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/run.sh' 2024-03-06 23:03:05,899 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/sections.lds' 2024-03-06 23:03:05,900 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/start.S' 2024-03-06 23:03:05,901 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/testbench.v' 2024-03-06 23:03:05,902 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/.gitignore' 2024-03-06 23:03:05,903 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/Makefile' 2024-03-06 23:03:05,904 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/README' 2024-03-06 23:03:05,905 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/asmcheck.py' 2024-03-06 23:03:05,906 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/config.py' 2024-03-06 23:03:05,907 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-notrap.diff' 2024-03-06 23:03:05,908 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-sbreak.diff' 2024-03-06 23:03:05,909 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-genloop.diff' 2024-03-06 23:03:05,910 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-rv32.diff' 2024-03-06 23:03:05,911 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv_test.h' 2024-03-06 23:03:05,911 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/sections.lds' 2024-03-06 23:03:05,912 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/test.sh' 2024-03-06 23:03:05,913 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/testbench.cc' 2024-03-06 23:03:05,914 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/testbench.v' 2024-03-06 23:03:05,916 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/.gitignore' 2024-03-06 23:03:05,916 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/Makefile' 2024-03-06 23:03:05,917 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.S' 2024-03-06 23:03:05,918 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.c' 2024-03-06 23:03:05,919 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.lds' 2024-03-06 23:03:05,920 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.tcl' 2024-03-06 23:03:05,921 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.xdc' 2024-03-06 23:03:05,922 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_large.tcl' 2024-03-06 23:03:05,923 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_regular.tcl' 2024-03-06 23:03:05,924 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_small.tcl' 2024-03-06 23:03:05,925 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_top.v' 2024-03-06 23:03:05,926 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.tcl' 2024-03-06 23:03:05,927 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.xdc' 2024-03-06 23:03:05,928 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.tcl' 2024-03-06 23:03:05,929 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.xdc' 2024-03-06 23:03:05,930 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/system.v' 2024-03-06 23:03:05,931 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/system_tb.v' 2024-03-06 23:03:05,932 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/table.sh' 2024-03-06 23:03:05,933 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.sh' 2024-03-06 23:03:05,934 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.v' 2024-03-06 23:03:05,935 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/.gitignore' 2024-03-06 23:03:05,936 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.lib' 2024-03-06 23:03:05,937 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.v' 2024-03-06 23:03:05,938 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.ys' 2024-03-06 23:03:05,938 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_osu018.sh' 2024-03-06 23:03:05,939 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_sim.ys' 2024-03-06 23:03:05,940 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/README.md' 2024-03-06 23:03:05,941 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/lse.sh' 2024-03-06 23:03:05,942 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/synplify.sh' 2024-03-06 23:03:05,943 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/vivado.tcl' 2024-03-06 23:03:05,944 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_ice40.ys' 2024-03-06 23:03:05,945 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_xilinx.ys' 2024-03-06 23:03:05,947 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/LICENSE' 2024-03-06 23:03:05,948 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/README' 2024-03-06 23:03:05,948 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/add.S' 2024-03-06 23:03:05,949 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/addi.S' 2024-03-06 23:03:05,950 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/and.S' 2024-03-06 23:03:05,951 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/andi.S' 2024-03-06 23:03:05,952 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/auipc.S' 2024-03-06 23:03:05,953 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/beq.S' 2024-03-06 23:03:05,954 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/bge.S' 2024-03-06 23:03:05,955 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/bgeu.S' 2024-03-06 23:03:05,956 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/blt.S' 2024-03-06 23:03:05,957 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/bltu.S' 2024-03-06 23:03:05,958 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/bne.S' 2024-03-06 23:03:05,959 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/div.S' 2024-03-06 23:03:05,960 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/divu.S' 2024-03-06 23:03:05,961 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/j.S' 2024-03-06 23:03:05,962 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/jal.S' 2024-03-06 23:03:05,962 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/jalr.S' 2024-03-06 23:03:05,963 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lb.S' 2024-03-06 23:03:05,964 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lbu.S' 2024-03-06 23:03:05,965 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lh.S' 2024-03-06 23:03:05,966 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lhu.S' 2024-03-06 23:03:05,967 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lui.S' 2024-03-06 23:03:05,968 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lw.S' 2024-03-06 23:03:05,969 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/mul.S' 2024-03-06 23:03:05,970 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/mulh.S' 2024-03-06 23:03:05,971 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/mulhsu.S' 2024-03-06 23:03:05,972 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/mulhu.S' 2024-03-06 23:03:05,973 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/or.S' 2024-03-06 23:03:05,974 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/ori.S' 2024-03-06 23:03:05,975 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/rem.S' 2024-03-06 23:03:05,976 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/remu.S' 2024-03-06 23:03:05,977 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/riscv_test.h' 2024-03-06 23:03:05,978 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sb.S' 2024-03-06 23:03:05,979 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sh.S' 2024-03-06 23:03:05,980 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/simple.S' 2024-03-06 23:03:05,981 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sll.S' 2024-03-06 23:03:05,982 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/slli.S' 2024-03-06 23:03:05,982 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/slt.S' 2024-03-06 23:03:05,983 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/slti.S' 2024-03-06 23:03:05,984 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sra.S' 2024-03-06 23:03:05,985 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/srai.S' 2024-03-06 23:03:05,986 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/srl.S' 2024-03-06 23:03:05,987 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/srli.S' 2024-03-06 23:03:05,988 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sub.S' 2024-03-06 23:03:05,989 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sw.S' 2024-03-06 23:03:05,990 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/test_macros.h' 2024-03-06 23:03:05,991 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/xor.S' 2024-03-06 23:03:05,992 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/xori.S' 2024-03-06 23:03:05,994 wheel INFO adding 'pythondata_cpu_picorv32-1.0.post88.dist-info/METADATA' 2024-03-06 23:03:05,994 wheel INFO adding 'pythondata_cpu_picorv32-1.0.post88.dist-info/WHEEL' 2024-03-06 23:03:05,995 wheel INFO adding 'pythondata_cpu_picorv32-1.0.post88.dist-info/top_level.txt' 2024-03-06 23:03:06,003 wheel INFO adding 'pythondata_cpu_picorv32-1.0.post88.dist-info/RECORD' 2024-03-06 23:03:06,010 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:03:06,024 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_picorv32-1.0.post88-py3-none-any.whl pythondata_cpu_picorv32-1.0.post88-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-rocket 2024-03-06 23:03:07,157 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:03:07,356 root INFO running bdist_wheel 2024-03-06 23:03:07,437 root INFO running build 2024-03-06 23:03:07,438 root INFO running build_py 2024-03-06 23:03:07,450 root INFO creating build 2024-03-06 23:03:07,450 root INFO creating build/lib 2024-03-06 23:03:07,451 root INFO creating build/lib/pythondata_cpu_rocket 2024-03-06 23:03:07,451 root INFO copying pythondata_cpu_rocket/__init__.py -> build/lib/pythondata_cpu_rocket 2024-03-06 23:03:07,455 root INFO running egg_info 2024-03-06 23:03:07,455 root INFO creating pythondata_cpu_rocket.egg-info 2024-03-06 23:03:07,463 root INFO writing pythondata_cpu_rocket.egg-info/PKG-INFO 2024-03-06 23:03:07,465 root INFO writing dependency_links to pythondata_cpu_rocket.egg-info/dependency_links.txt 2024-03-06 23:03:07,467 root INFO writing top-level names to pythondata_cpu_rocket.egg-info/top_level.txt 2024-03-06 23:03:07,467 root INFO writing manifest file 'pythondata_cpu_rocket.egg-info/SOURCES.txt' 2024-03-06 23:03:07,482 root INFO reading manifest file 'pythondata_cpu_rocket.egg-info/SOURCES.txt' 2024-03-06 23:03:07,483 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:03:07,492 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:03:07,504 root INFO writing manifest file 'pythondata_cpu_rocket.egg-info/SOURCES.txt' 2024-03-06 23:03:07,522 root INFO creating build/lib/pythondata_cpu_rocket/verilog 2024-03-06 23:03:07,523 root INFO copying pythondata_cpu_rocket/verilog/.gitignore -> build/lib/pythondata_cpu_rocket/verilog 2024-03-06 23:03:07,524 root INFO copying pythondata_cpu_rocket/verilog/README.md -> build/lib/pythondata_cpu_rocket/verilog 2024-03-06 23:03:07,525 root INFO copying pythondata_cpu_rocket/verilog/_upstream.rev -> build/lib/pythondata_cpu_rocket/verilog 2024-03-06 23:03:07,526 root INFO copying pythondata_cpu_rocket/verilog/update.sh -> build/lib/pythondata_cpu_rocket/verilog 2024-03-06 23:03:07,526 root INFO creating build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,527 root INFO copying pythondata_cpu_rocket/verilog/generated-src/TestHarness.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,530 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,531 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,533 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,534 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,535 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,536 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,539 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,539 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,540 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,541 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,542 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,566 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,568 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,569 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,570 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,571 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,572 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,596 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,597 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,599 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,600 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,601 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,601 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,605 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,606 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,606 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,607 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,608 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,637 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,638 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,639 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,640 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,641 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,642 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,673 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,674 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,676 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,677 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,678 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,679 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,682 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,683 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,684 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,685 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,686 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,712 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,713 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,714 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,715 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,716 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,717 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,744 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,745 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,747 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,748 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,749 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,749 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,753 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,754 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,754 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,755 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,756 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,782 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,784 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,785 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,786 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,786 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,787 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,815 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,816 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,818 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,819 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,819 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,820 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,823 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,824 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,825 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,826 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,827 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,853 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,854 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,855 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,856 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,857 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,858 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:07,886 root INFO creating build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:07,887 root INFO copying pythondata_cpu_rocket/verilog/vsrc/AsyncResetReg.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:07,888 root INFO copying pythondata_cpu_rocket/verilog/vsrc/ClockDivider2.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:07,889 root INFO copying pythondata_cpu_rocket/verilog/vsrc/ClockDivider3.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:07,889 root INFO copying pythondata_cpu_rocket/verilog/vsrc/EICG_wrapper.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:07,890 root INFO copying pythondata_cpu_rocket/verilog/vsrc/RoccBlackBox.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:07,891 root INFO copying pythondata_cpu_rocket/verilog/vsrc/SimDTM.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:07,892 root INFO copying pythondata_cpu_rocket/verilog/vsrc/SimJTAG.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:07,893 root INFO copying pythondata_cpu_rocket/verilog/vsrc/TestDriver.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:07,894 root INFO copying pythondata_cpu_rocket/verilog/vsrc/plusarg_reader.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:07,923 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:03:07,923 root INFO running install 2024-03-06 23:03:07,999 root INFO running install_lib 2024-03-06 23:03:08,009 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:03:08,009 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:03:08,010 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket 2024-03-06 23:03:08,011 root INFO copying build/lib/pythondata_cpu_rocket/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket 2024-03-06 23:03:08,012 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog 2024-03-06 23:03:08,012 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,013 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.1.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,015 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x2000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,016 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,017 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.1.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,018 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,019 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.1.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,021 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0xc000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,022 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,023 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.d -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,024 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,024 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x40.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,025 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.plusArgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,026 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0xc000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,027 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.memmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,028 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/TestHarness.anno.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,030 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.plusArgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,030 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.graphml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,031 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.rom.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,032 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.plusArgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,033 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.rom.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,034 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.memmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,034 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,035 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.anno.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,037 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.fir -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,062 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.anno.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,065 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.d -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,066 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,089 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.d -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,090 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.plusArgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,091 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,092 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.graphml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,094 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x40.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,094 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x40.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,095 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,096 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.anno.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,099 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x40.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,100 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.d -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,101 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.d -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,102 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x40.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,103 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.fir -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,131 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,132 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.1.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,134 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.memmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,135 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.rom.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,135 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.behav_srams.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,136 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.memmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,137 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x2000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,138 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.graphml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,139 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.behav_srams.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,140 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,141 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.anno.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,144 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,145 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,174 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,175 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,202 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0xc000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,203 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0xc000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,204 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.plusArgs -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,205 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0xc000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,206 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.rom.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,207 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.fir -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,234 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,262 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.behav_srams.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,263 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,264 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x2000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,265 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.memmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,266 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.dts -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,267 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.fir -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,293 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.anno.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,296 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.graphml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,298 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,299 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,300 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.behav_srams.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,300 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.rom.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,301 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,302 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.behav_srams.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,303 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,304 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x2000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,304 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,330 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,331 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.1.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,333 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,334 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.fir -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,360 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x2000000.0.regmap.json -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,361 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.graphml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,363 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.conf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-03-06 23:03:08,364 root INFO copying build/lib/pythondata_cpu_rocket/verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog 2024-03-06 23:03:08,364 root INFO copying build/lib/pythondata_cpu_rocket/verilog/update.sh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog 2024-03-06 23:03:08,365 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:08,366 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/SimJTAG.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:08,367 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/plusarg_reader.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:08,368 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/ClockDivider2.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:08,368 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/AsyncResetReg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:08,369 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/SimDTM.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:08,370 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/TestDriver.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:08,371 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/RoccBlackBox.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:08,372 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/ClockDivider3.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:08,372 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/EICG_wrapper.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-03-06 23:03:08,373 root INFO copying build/lib/pythondata_cpu_rocket/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog 2024-03-06 23:03:08,374 root INFO copying build/lib/pythondata_cpu_rocket/verilog/_upstream.rev -> build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket/verilog 2024-03-06 23:03:08,375 root INFO running install_egg_info 2024-03-06 23:03:08,393 root INFO Copying pythondata_cpu_rocket.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket-0.0.post7053-py3.11.egg-info 2024-03-06 23:03:08,397 root INFO running install_scripts 2024-03-06 23:03:08,405 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_rocket-0.0.post7053.dist-info/WHEEL 2024-03-06 23:03:08,408 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-ju63qq_h/pythondata_cpu_rocket-0.0.post7053-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:03:08,411 wheel INFO adding 'pythondata_cpu_rocket/__init__.py' 2024-03-06 23:03:08,415 wheel INFO adding 'pythondata_cpu_rocket/verilog/.gitignore' 2024-03-06 23:03:08,416 wheel INFO adding 'pythondata_cpu_rocket/verilog/README.md' 2024-03-06 23:03:08,417 wheel INFO adding 'pythondata_cpu_rocket/verilog/_upstream.rev' 2024-03-06 23:03:08,418 wheel INFO adding 'pythondata_cpu_rocket/verilog/update.sh' 2024-03-06 23:03:08,422 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/TestHarness.anno.json' 2024-03-06 23:03:08,432 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.0.regmap.json' 2024-03-06 23:03:08,434 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.1.regmap.json' 2024-03-06 23:03:08,439 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x2000000.0.regmap.json' 2024-03-06 23:03:08,440 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x40.0.regmap.json' 2024-03-06 23:03:08,441 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0xc000000.0.regmap.json' 2024-03-06 23:03:08,444 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.anno.json' 2024-03-06 23:03:08,454 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.behav_srams.v' 2024-03-06 23:03:08,455 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.conf' 2024-03-06 23:03:08,456 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.d' 2024-03-06 23:03:08,457 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.dts' 2024-03-06 23:03:08,487 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.fir' 2024-03-06 23:03:08,651 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.graphml' 2024-03-06 23:03:08,655 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.json' 2024-03-06 23:03:08,656 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.memmap.json' 2024-03-06 23:03:08,657 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.plusArgs' 2024-03-06 23:03:08,658 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.rom.conf' 2024-03-06 23:03:08,683 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.v' 2024-03-06 23:03:08,830 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.0.regmap.json' 2024-03-06 23:03:08,833 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.1.regmap.json' 2024-03-06 23:03:08,838 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x2000000.0.regmap.json' 2024-03-06 23:03:08,839 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x40.0.regmap.json' 2024-03-06 23:03:08,840 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0xc000000.0.regmap.json' 2024-03-06 23:03:08,843 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.anno.json' 2024-03-06 23:03:08,852 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.behav_srams.v' 2024-03-06 23:03:08,853 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.conf' 2024-03-06 23:03:08,854 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.d' 2024-03-06 23:03:08,856 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.dts' 2024-03-06 23:03:08,889 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.fir' 2024-03-06 23:03:09,078 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.graphml' 2024-03-06 23:03:09,083 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.json' 2024-03-06 23:03:09,083 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.memmap.json' 2024-03-06 23:03:09,084 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.plusArgs' 2024-03-06 23:03:09,085 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.rom.conf' 2024-03-06 23:03:09,121 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.v' 2024-03-06 23:03:09,320 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.0.regmap.json' 2024-03-06 23:03:09,322 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.1.regmap.json' 2024-03-06 23:03:09,327 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x2000000.0.regmap.json' 2024-03-06 23:03:09,328 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x40.0.regmap.json' 2024-03-06 23:03:09,329 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0xc000000.0.regmap.json' 2024-03-06 23:03:09,332 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.anno.json' 2024-03-06 23:03:09,341 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.behav_srams.v' 2024-03-06 23:03:09,342 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.conf' 2024-03-06 23:03:09,344 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.d' 2024-03-06 23:03:09,345 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.dts' 2024-03-06 23:03:09,375 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.fir' 2024-03-06 23:03:09,544 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.graphml' 2024-03-06 23:03:09,548 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.json' 2024-03-06 23:03:09,549 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.memmap.json' 2024-03-06 23:03:09,549 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.plusArgs' 2024-03-06 23:03:09,550 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.rom.conf' 2024-03-06 23:03:09,581 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.v' 2024-03-06 23:03:09,747 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.0.regmap.json' 2024-03-06 23:03:09,750 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.1.regmap.json' 2024-03-06 23:03:09,755 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x2000000.0.regmap.json' 2024-03-06 23:03:09,756 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x40.0.regmap.json' 2024-03-06 23:03:09,757 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0xc000000.0.regmap.json' 2024-03-06 23:03:09,759 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.anno.json' 2024-03-06 23:03:09,769 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.behav_srams.v' 2024-03-06 23:03:09,770 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.conf' 2024-03-06 23:03:09,771 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.d' 2024-03-06 23:03:09,773 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.dts' 2024-03-06 23:03:09,804 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.fir' 2024-03-06 23:03:09,974 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.graphml' 2024-03-06 23:03:09,978 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.json' 2024-03-06 23:03:09,979 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.memmap.json' 2024-03-06 23:03:09,980 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.plusArgs' 2024-03-06 23:03:09,981 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.rom.conf' 2024-03-06 23:03:10,012 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.v' 2024-03-06 23:03:10,182 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.0.regmap.json' 2024-03-06 23:03:10,185 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.1.regmap.json' 2024-03-06 23:03:10,190 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x2000000.0.regmap.json' 2024-03-06 23:03:10,191 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x40.0.regmap.json' 2024-03-06 23:03:10,192 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0xc000000.0.regmap.json' 2024-03-06 23:03:10,195 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.anno.json' 2024-03-06 23:03:10,205 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.behav_srams.v' 2024-03-06 23:03:10,206 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.conf' 2024-03-06 23:03:10,207 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.d' 2024-03-06 23:03:10,208 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.dts' 2024-03-06 23:03:10,239 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.fir' 2024-03-06 23:03:10,411 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.graphml' 2024-03-06 23:03:10,415 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.json' 2024-03-06 23:03:10,416 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.memmap.json' 2024-03-06 23:03:10,417 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.plusArgs' 2024-03-06 23:03:10,418 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.rom.conf' 2024-03-06 23:03:10,449 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.v' 2024-03-06 23:03:10,621 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/AsyncResetReg.v' 2024-03-06 23:03:10,622 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/ClockDivider2.v' 2024-03-06 23:03:10,623 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/ClockDivider3.v' 2024-03-06 23:03:10,624 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/EICG_wrapper.v' 2024-03-06 23:03:10,625 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/RoccBlackBox.v' 2024-03-06 23:03:10,626 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/SimDTM.v' 2024-03-06 23:03:10,627 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/SimJTAG.v' 2024-03-06 23:03:10,628 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/TestDriver.v' 2024-03-06 23:03:10,630 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/plusarg_reader.v' 2024-03-06 23:03:10,631 wheel INFO adding 'pythondata_cpu_rocket-0.0.post7053.dist-info/METADATA' 2024-03-06 23:03:10,632 wheel INFO adding 'pythondata_cpu_rocket-0.0.post7053.dist-info/WHEEL' 2024-03-06 23:03:10,633 wheel INFO adding 'pythondata_cpu_rocket-0.0.post7053.dist-info/top_level.txt' 2024-03-06 23:03:10,638 wheel INFO adding 'pythondata_cpu_rocket-0.0.post7053.dist-info/RECORD' 2024-03-06 23:03:10,642 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:03:10,684 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_rocket-0.0.post7053-py3-none-any.whl pythondata_cpu_rocket-0.0.post7053-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-serv 2024-03-06 23:03:11,826 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:03:12,030 root INFO running bdist_wheel 2024-03-06 23:03:12,113 root INFO running build 2024-03-06 23:03:12,114 root INFO running build_py 2024-03-06 23:03:12,126 root INFO creating build 2024-03-06 23:03:12,126 root INFO creating build/lib 2024-03-06 23:03:12,127 root INFO creating build/lib/pythondata_cpu_serv 2024-03-06 23:03:12,127 root INFO copying pythondata_cpu_serv/__init__.py -> build/lib/pythondata_cpu_serv 2024-03-06 23:03:12,133 root INFO creating build/lib/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,133 root INFO creating build/lib/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,134 root INFO copying pythondata_cpu_serv/verilog/sw/makehex.py -> build/lib/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,136 root INFO creating build/lib/pythondata_cpu_serv/verilog/riscv-target 2024-03-06 23:03:12,136 root INFO creating build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-03-06 23:03:12,137 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/makehex.py -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-03-06 23:03:12,139 root INFO running egg_info 2024-03-06 23:03:12,139 root INFO creating pythondata_cpu_serv.egg-info 2024-03-06 23:03:12,146 root INFO writing pythondata_cpu_serv.egg-info/PKG-INFO 2024-03-06 23:03:12,149 root INFO writing dependency_links to pythondata_cpu_serv.egg-info/dependency_links.txt 2024-03-06 23:03:12,151 root INFO writing top-level names to pythondata_cpu_serv.egg-info/top_level.txt 2024-03-06 23:03:12,151 root INFO writing manifest file 'pythondata_cpu_serv.egg-info/SOURCES.txt' 2024-03-06 23:03:12,171 root INFO reading manifest file 'pythondata_cpu_serv.egg-info/SOURCES.txt' 2024-03-06 23:03:12,172 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:03:12,180 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:03:12,189 root INFO writing manifest file 'pythondata_cpu_serv.egg-info/SOURCES.txt' 2024-03-06 23:03:12,206 root INFO copying pythondata_cpu_serv/verilog/.gitmodules -> build/lib/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,207 root INFO copying pythondata_cpu_serv/verilog/LICENSE -> build/lib/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,208 root INFO copying pythondata_cpu_serv/verilog/README.md -> build/lib/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,209 root INFO copying pythondata_cpu_serv/verilog/serv.core -> build/lib/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,210 root INFO copying pythondata_cpu_serv/verilog/servant.core -> build/lib/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,211 root INFO copying pythondata_cpu_serv/verilog/serving.core -> build/lib/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,212 root INFO creating build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,213 root INFO copying pythondata_cpu_serv/verilog/servant/ecppll.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,214 root INFO copying pythondata_cpu_serv/verilog/servant/ice40_pll.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,215 root INFO copying pythondata_cpu_serv/verilog/servant/servant.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,215 root INFO copying pythondata_cpu_serv/verilog/servant/servant_arbiter.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,216 root INFO copying pythondata_cpu_serv/verilog/servant/servant_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,217 root INFO copying pythondata_cpu_serv/verilog/servant/servant_ecp5.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,218 root INFO copying pythondata_cpu_serv/verilog/servant/servant_ecp5_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,219 root INFO copying pythondata_cpu_serv/verilog/servant/servant_gpio.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,220 root INFO copying pythondata_cpu_serv/verilog/servant/servant_mux.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,221 root INFO copying pythondata_cpu_serv/verilog/servant/servant_ram.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,222 root INFO copying pythondata_cpu_serv/verilog/servant/servant_ram_quartus.sv -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,223 root INFO copying pythondata_cpu_serv/verilog/servant/servant_timer.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,224 root INFO copying pythondata_cpu_serv/verilog/servant/servant_upduino2.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,224 root INFO copying pythondata_cpu_serv/verilog/servant/servclone10.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,225 root INFO copying pythondata_cpu_serv/verilog/servant/servclone10_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,226 root INFO copying pythondata_cpu_serv/verilog/servant/service.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,227 root INFO copying pythondata_cpu_serv/verilog/servant/servix.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,228 root INFO copying pythondata_cpu_serv/verilog/servant/servix_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,229 root INFO copying pythondata_cpu_serv/verilog/servant/servus.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,230 root INFO copying pythondata_cpu_serv/verilog/servant/servus_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,230 root INFO creating build/lib/pythondata_cpu_serv/verilog/bench 2024-03-06 23:03:12,231 root INFO copying pythondata_cpu_serv/verilog/bench/servant_sim.v -> build/lib/pythondata_cpu_serv/verilog/bench 2024-03-06 23:03:12,232 root INFO copying pythondata_cpu_serv/verilog/bench/servant_tb.cpp -> build/lib/pythondata_cpu_serv/verilog/bench 2024-03-06 23:03:12,233 root INFO copying pythondata_cpu_serv/verilog/bench/servant_tb.v -> build/lib/pythondata_cpu_serv/verilog/bench 2024-03-06 23:03:12,234 root INFO copying pythondata_cpu_serv/verilog/bench/uart_decoder.v -> build/lib/pythondata_cpu_serv/verilog/bench 2024-03-06 23:03:12,234 root INFO creating build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,235 root INFO copying pythondata_cpu_serv/verilog/rtl/ser_shift.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,236 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_alu.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,237 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_bufreg.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,238 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_csr.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,238 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_ctrl.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,239 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_decode.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,240 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_mem_if.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,241 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_params.vh -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,242 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_rf_if.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,243 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_rf_ram.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,244 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_rf_ram_if.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,245 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_rf_top.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,246 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_state.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,247 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_top.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,248 root INFO copying pythondata_cpu_serv/verilog/rtl/shift_reg.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,248 root INFO creating build/lib/pythondata_cpu_serv/verilog/serving 2024-03-06 23:03:12,249 root INFO copying pythondata_cpu_serv/verilog/serving/serving.v -> build/lib/pythondata_cpu_serv/verilog/serving 2024-03-06 23:03:12,250 root INFO copying pythondata_cpu_serv/verilog/serving/serving_arbiter.v -> build/lib/pythondata_cpu_serv/verilog/serving 2024-03-06 23:03:12,251 root INFO copying pythondata_cpu_serv/verilog/serving/serving_mux.v -> build/lib/pythondata_cpu_serv/verilog/serving 2024-03-06 23:03:12,252 root INFO copying pythondata_cpu_serv/verilog/serving/serving_ram.v -> build/lib/pythondata_cpu_serv/verilog/serving 2024-03-06 23:03:12,252 root INFO creating build/lib/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,253 root INFO copying pythondata_cpu_serv/verilog/data/alhambra.pcf -> build/lib/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,254 root INFO copying pythondata_cpu_serv/verilog/data/arty_a7_35t.xdc -> build/lib/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,255 root INFO copying pythondata_cpu_serv/verilog/data/cyc1000.sdc -> build/lib/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,255 root INFO copying pythondata_cpu_serv/verilog/data/cyc1000.tcl -> build/lib/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,256 root INFO copying pythondata_cpu_serv/verilog/data/icebreaker.pcf -> build/lib/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,257 root INFO copying pythondata_cpu_serv/verilog/data/nexys_a7.xdc -> build/lib/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,258 root INFO copying pythondata_cpu_serv/verilog/data/tinyfpga_bx.pcf -> build/lib/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,259 root INFO copying pythondata_cpu_serv/verilog/data/ulx3s.lpf -> build/lib/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,260 root INFO copying pythondata_cpu_serv/verilog/data/upduino2.pcf -> build/lib/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,260 root INFO copying pythondata_cpu_serv/verilog/data/zcu106.xdc -> build/lib/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,261 root INFO copying pythondata_cpu_serv/verilog/sw/Makefile -> build/lib/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,262 root INFO copying pythondata_cpu_serv/verilog/sw/blinky.S -> build/lib/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,263 root INFO copying pythondata_cpu_serv/verilog/sw/blinky.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,264 root INFO copying pythondata_cpu_serv/verilog/sw/link.ld -> build/lib/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,265 root INFO copying pythondata_cpu_serv/verilog/sw/zephyr_hello.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,266 root INFO copying pythondata_cpu_serv/verilog/sw/zephyr_hello_mt.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,266 root INFO copying pythondata_cpu_serv/verilog/sw/zephyr_phil.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,267 root INFO copying pythondata_cpu_serv/verilog/sw/zephyr_sync.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,268 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/compliance_io.h -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-03-06 23:03:12,269 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/compliance_test.h -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-03-06 23:03:12,270 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/link.ld -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-03-06 23:03:12,271 root INFO creating build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/device 2024-03-06 23:03:12,271 root INFO creating build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i 2024-03-06 23:03:12,272 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i/Makefile.include -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i 2024-03-06 23:03:12,302 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:03:12,302 root INFO running install 2024-03-06 23:03:12,377 root INFO running install_lib 2024-03-06 23:03:12,387 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:03:12,388 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:03:12,388 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv 2024-03-06 23:03:12,389 root INFO copying build/lib/pythondata_cpu_serv/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv 2024-03-06 23:03:12,390 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,391 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,391 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,392 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,393 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_timer.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,393 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servclone10.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,394 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servclone10_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,395 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_ram_quartus.sv -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,396 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/ice40_pll.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,396 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servix.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,397 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_arbiter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,398 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/ecppll.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,399 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servus.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,399 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_gpio.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,400 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_ecp5.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,401 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_ram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,402 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,402 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/service.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,403 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_upduino2.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,404 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servus_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,404 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_ecp5_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,405 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servix_clock_gen.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/servant 2024-03-06 23:03:12,406 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,407 root INFO copying build/lib/pythondata_cpu_serv/verilog/LICENSE -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,408 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/bench 2024-03-06 23:03:12,408 root INFO copying build/lib/pythondata_cpu_serv/verilog/bench/servant_tb.cpp -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/bench 2024-03-06 23:03:12,409 root INFO copying build/lib/pythondata_cpu_serv/verilog/bench/servant_tb.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/bench 2024-03-06 23:03:12,410 root INFO copying build/lib/pythondata_cpu_serv/verilog/bench/servant_sim.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/bench 2024-03-06 23:03:12,410 root INFO copying build/lib/pythondata_cpu_serv/verilog/bench/uart_decoder.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/bench 2024-03-06 23:03:12,411 root INFO copying build/lib/pythondata_cpu_serv/verilog/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,412 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,413 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,413 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/ser_shift.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,414 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_rf_ram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,415 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,415 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_params.vh -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,416 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_csr.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,417 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_rf_top.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,418 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/shift_reg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,418 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_bufreg.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,419 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_mem_if.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,420 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_rf_ram_if.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,421 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_decode.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,421 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_ctrl.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,422 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_state.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,423 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_alu.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,424 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_rf_if.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/rtl 2024-03-06 23:03:12,424 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/serving 2024-03-06 23:03:12,425 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving/serving_mux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/serving 2024-03-06 23:03:12,426 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving/serving_ram.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/serving 2024-03-06 23:03:12,426 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving/serving.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/serving 2024-03-06 23:03:12,427 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving/serving_arbiter.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/serving 2024-03-06 23:03:12,428 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,429 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/cyc1000.sdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,429 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/upduino2.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,430 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/icebreaker.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,431 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/ulx3s.lpf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,431 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/cyc1000.tcl -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,432 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/tinyfpga_bx.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,433 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/arty_a7_35t.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,434 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/alhambra.pcf -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,434 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/nexys_a7.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,435 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/zcu106.xdc -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/data 2024-03-06 23:03:12,436 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,437 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/makehex.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,437 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/zephyr_hello.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,438 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/zephyr_hello_mt.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,439 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/blinky.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,440 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/zephyr_phil.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,440 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,441 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/zephyr_sync.hex -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,442 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/blinky.S -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,443 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/sw 2024-03-06 23:03:12,443 root INFO copying build/lib/pythondata_cpu_serv/verilog/serv.core -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,444 root INFO copying build/lib/pythondata_cpu_serv/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog 2024-03-06 23:03:12,445 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target 2024-03-06 23:03:12,446 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-03-06 23:03:12,446 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/compliance_io.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-03-06 23:03:12,447 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/makehex.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-03-06 23:03:12,448 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/link.ld -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-03-06 23:03:12,449 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv/device 2024-03-06 23:03:12,449 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i 2024-03-06 23:03:12,450 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i/Makefile.include -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i 2024-03-06 23:03:12,451 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/compliance_test.h -> build/bdist.linux-riscv64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-03-06 23:03:12,451 root INFO running install_egg_info 2024-03-06 23:03:12,469 root INFO Copying pythondata_cpu_serv.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_serv-1.0.post66-py3.11.egg-info 2024-03-06 23:03:12,473 root INFO running install_scripts 2024-03-06 23:03:12,482 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_serv-1.0.post66.dist-info/WHEEL 2024-03-06 23:03:12,485 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-jpxldobf/pythondata_cpu_serv-1.0.post66-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:03:12,487 wheel INFO adding 'pythondata_cpu_serv/__init__.py' 2024-03-06 23:03:12,492 wheel INFO adding 'pythondata_cpu_serv/verilog/.gitmodules' 2024-03-06 23:03:12,493 wheel INFO adding 'pythondata_cpu_serv/verilog/LICENSE' 2024-03-06 23:03:12,494 wheel INFO adding 'pythondata_cpu_serv/verilog/README.md' 2024-03-06 23:03:12,495 wheel INFO adding 'pythondata_cpu_serv/verilog/serv.core' 2024-03-06 23:03:12,496 wheel INFO adding 'pythondata_cpu_serv/verilog/servant.core' 2024-03-06 23:03:12,497 wheel INFO adding 'pythondata_cpu_serv/verilog/serving.core' 2024-03-06 23:03:12,499 wheel INFO adding 'pythondata_cpu_serv/verilog/bench/servant_sim.v' 2024-03-06 23:03:12,500 wheel INFO adding 'pythondata_cpu_serv/verilog/bench/servant_tb.cpp' 2024-03-06 23:03:12,501 wheel INFO adding 'pythondata_cpu_serv/verilog/bench/servant_tb.v' 2024-03-06 23:03:12,502 wheel INFO adding 'pythondata_cpu_serv/verilog/bench/uart_decoder.v' 2024-03-06 23:03:12,503 wheel INFO adding 'pythondata_cpu_serv/verilog/data/alhambra.pcf' 2024-03-06 23:03:12,504 wheel INFO adding 'pythondata_cpu_serv/verilog/data/arty_a7_35t.xdc' 2024-03-06 23:03:12,505 wheel INFO adding 'pythondata_cpu_serv/verilog/data/cyc1000.sdc' 2024-03-06 23:03:12,505 wheel INFO adding 'pythondata_cpu_serv/verilog/data/cyc1000.tcl' 2024-03-06 23:03:12,506 wheel INFO adding 'pythondata_cpu_serv/verilog/data/icebreaker.pcf' 2024-03-06 23:03:12,507 wheel INFO adding 'pythondata_cpu_serv/verilog/data/nexys_a7.xdc' 2024-03-06 23:03:12,508 wheel INFO adding 'pythondata_cpu_serv/verilog/data/tinyfpga_bx.pcf' 2024-03-06 23:03:12,509 wheel INFO adding 'pythondata_cpu_serv/verilog/data/ulx3s.lpf' 2024-03-06 23:03:12,510 wheel INFO adding 'pythondata_cpu_serv/verilog/data/upduino2.pcf' 2024-03-06 23:03:12,511 wheel INFO adding 'pythondata_cpu_serv/verilog/data/zcu106.xdc' 2024-03-06 23:03:12,512 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/compliance_io.h' 2024-03-06 23:03:12,513 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/compliance_test.h' 2024-03-06 23:03:12,514 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/link.ld' 2024-03-06 23:03:12,515 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/makehex.py' 2024-03-06 23:03:12,516 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i/Makefile.include' 2024-03-06 23:03:12,517 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/ser_shift.v' 2024-03-06 23:03:12,518 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_alu.v' 2024-03-06 23:03:12,519 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_bufreg.v' 2024-03-06 23:03:12,520 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_csr.v' 2024-03-06 23:03:12,521 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_ctrl.v' 2024-03-06 23:03:12,522 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_decode.v' 2024-03-06 23:03:12,523 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_mem_if.v' 2024-03-06 23:03:12,524 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_params.vh' 2024-03-06 23:03:12,525 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_rf_if.v' 2024-03-06 23:03:12,526 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_rf_ram.v' 2024-03-06 23:03:12,527 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_rf_ram_if.v' 2024-03-06 23:03:12,528 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_rf_top.v' 2024-03-06 23:03:12,529 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_state.v' 2024-03-06 23:03:12,530 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_top.v' 2024-03-06 23:03:12,531 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/shift_reg.v' 2024-03-06 23:03:12,532 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/ecppll.v' 2024-03-06 23:03:12,533 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/ice40_pll.v' 2024-03-06 23:03:12,534 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant.v' 2024-03-06 23:03:12,535 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_arbiter.v' 2024-03-06 23:03:12,536 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_clock_gen.v' 2024-03-06 23:03:12,537 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_ecp5.v' 2024-03-06 23:03:12,538 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_ecp5_clock_gen.v' 2024-03-06 23:03:12,539 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_gpio.v' 2024-03-06 23:03:12,540 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_mux.v' 2024-03-06 23:03:12,541 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_ram.v' 2024-03-06 23:03:12,542 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_ram_quartus.sv' 2024-03-06 23:03:12,543 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_timer.v' 2024-03-06 23:03:12,544 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_upduino2.v' 2024-03-06 23:03:12,545 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servclone10.v' 2024-03-06 23:03:12,546 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servclone10_clock_gen.v' 2024-03-06 23:03:12,546 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/service.v' 2024-03-06 23:03:12,547 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servix.v' 2024-03-06 23:03:12,548 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servix_clock_gen.v' 2024-03-06 23:03:12,549 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servus.v' 2024-03-06 23:03:12,550 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servus_clock_gen.v' 2024-03-06 23:03:12,551 wheel INFO adding 'pythondata_cpu_serv/verilog/serving/serving.v' 2024-03-06 23:03:12,552 wheel INFO adding 'pythondata_cpu_serv/verilog/serving/serving_arbiter.v' 2024-03-06 23:03:12,553 wheel INFO adding 'pythondata_cpu_serv/verilog/serving/serving_mux.v' 2024-03-06 23:03:12,554 wheel INFO adding 'pythondata_cpu_serv/verilog/serving/serving_ram.v' 2024-03-06 23:03:12,555 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/Makefile' 2024-03-06 23:03:12,556 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/blinky.S' 2024-03-06 23:03:12,557 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/blinky.hex' 2024-03-06 23:03:12,558 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/link.ld' 2024-03-06 23:03:12,559 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/makehex.py' 2024-03-06 23:03:12,560 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/zephyr_hello.hex' 2024-03-06 23:03:12,561 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/zephyr_hello_mt.hex' 2024-03-06 23:03:12,563 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/zephyr_phil.hex' 2024-03-06 23:03:12,564 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/zephyr_sync.hex' 2024-03-06 23:03:12,566 wheel INFO adding 'pythondata_cpu_serv-1.0.post66.dist-info/METADATA' 2024-03-06 23:03:12,567 wheel INFO adding 'pythondata_cpu_serv-1.0.post66.dist-info/WHEEL' 2024-03-06 23:03:12,568 wheel INFO adding 'pythondata_cpu_serv-1.0.post66.dist-info/top_level.txt' 2024-03-06 23:03:12,571 wheel INFO adding 'pythondata_cpu_serv-1.0.post66.dist-info/RECORD' 2024-03-06 23:03:12,574 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:03:12,580 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_serv-1.0.post66-py3-none-any.whl pythondata_cpu_serv-1.0.post66-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-vexriscv 2024-03-06 23:03:13,724 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:03:13,928 root INFO running bdist_wheel 2024-03-06 23:03:14,010 root INFO running build 2024-03-06 23:03:14,011 root INFO running build_py 2024-03-06 23:03:14,023 root INFO creating build 2024-03-06 23:03:14,023 root INFO creating build/lib 2024-03-06 23:03:14,024 root INFO creating build/lib/pythondata_cpu_vexriscv 2024-03-06 23:03:14,024 root INFO copying pythondata_cpu_vexriscv/__init__.py -> build/lib/pythondata_cpu_vexriscv 2024-03-06 23:03:14,030 root INFO running egg_info 2024-03-06 23:03:14,030 root INFO creating pythondata_cpu_vexriscv.egg-info 2024-03-06 23:03:14,037 root INFO writing pythondata_cpu_vexriscv.egg-info/PKG-INFO 2024-03-06 23:03:14,040 root INFO writing dependency_links to pythondata_cpu_vexriscv.egg-info/dependency_links.txt 2024-03-06 23:03:14,042 root INFO writing top-level names to pythondata_cpu_vexriscv.egg-info/top_level.txt 2024-03-06 23:03:14,042 root INFO writing manifest file 'pythondata_cpu_vexriscv.egg-info/SOURCES.txt' 2024-03-06 23:03:14,059 root INFO reading manifest file 'pythondata_cpu_vexriscv.egg-info/SOURCES.txt' 2024-03-06 23:03:14,060 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:03:14,065 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:03:14,071 root INFO writing manifest file 'pythondata_cpu_vexriscv.egg-info/SOURCES.txt' 2024-03-06 23:03:14,080 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,081 root INFO copying pythondata_cpu_vexriscv/verilog/.gitignore -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,082 root INFO copying pythondata_cpu_vexriscv/verilog/.gitmodules -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,082 root INFO copying pythondata_cpu_vexriscv/verilog/Makefile -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,083 root INFO copying pythondata_cpu_vexriscv/verilog/README.md -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,084 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,086 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,087 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,089 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,090 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Full.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,092 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Full.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,093 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,094 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,095 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,097 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,098 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,100 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,101 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxNoDspFmax.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,102 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,103 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,104 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,106 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,106 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Min.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,108 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Min.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,108 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,110 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,110 root INFO copying pythondata_cpu_vexriscv/verilog/build.sbt -> build/lib/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,111 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/project 2024-03-06 23:03:14,112 root INFO copying pythondata_cpu_vexriscv/verilog/project/build.properties -> build/lib/pythondata_cpu_vexriscv/verilog/project 2024-03-06 23:03:14,113 root INFO copying pythondata_cpu_vexriscv/verilog/project/plugins.sbt -> build/lib/pythondata_cpu_vexriscv/verilog/project 2024-03-06 23:03:14,113 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/src 2024-03-06 23:03:14,114 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/src/main 2024-03-06 23:03:14,114 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/src/main/scala 2024-03-06 23:03:14,115 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv 2024-03-06 23:03:14,115 root INFO copying pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv/GenCoreDefault.scala -> build/lib/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv 2024-03-06 23:03:14,143 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:03:14,144 root INFO running install 2024-03-06 23:03:14,220 root INFO running install_lib 2024-03-06 23:03:14,230 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:03:14,231 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:03:14,231 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv 2024-03-06 23:03:14,232 root INFO copying build/lib/pythondata_cpu_vexriscv/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv 2024-03-06 23:03:14,233 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,233 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/project 2024-03-06 23:03:14,234 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/project/build.properties -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/project 2024-03-06 23:03:14,235 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/project/plugins.sbt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/project 2024-03-06 23:03:14,235 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,236 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/src 2024-03-06 23:03:14,237 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/src/main 2024-03-06 23:03:14,237 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/src/main/scala 2024-03-06 23:03:14,238 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv 2024-03-06 23:03:14,239 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv/GenCoreDefault.scala -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv 2024-03-06 23:03:14,239 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,241 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,242 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/build.sbt -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,243 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,244 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,245 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,245 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,246 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,247 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,248 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,250 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxNoDspFmax.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,251 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/.gitmodules -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,252 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,253 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,254 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,255 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Full.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,256 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,257 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,258 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,259 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,260 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,261 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Min.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,262 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,262 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Min.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,263 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Full.yaml -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv/verilog 2024-03-06 23:03:14,264 root INFO running install_egg_info 2024-03-06 23:03:14,281 root INFO Copying pythondata_cpu_vexriscv.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv-1.0.1.post314-py3.11.egg-info 2024-03-06 23:03:14,286 root INFO running install_scripts 2024-03-06 23:03:14,294 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv-1.0.1.post314.dist-info/WHEEL 2024-03-06 23:03:14,298 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-xzpp36wj/pythondata_cpu_vexriscv-1.0.1.post314-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:03:14,300 wheel INFO adding 'pythondata_cpu_vexriscv/__init__.py' 2024-03-06 23:03:14,305 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/.gitignore' 2024-03-06 23:03:14,306 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/.gitmodules' 2024-03-06 23:03:14,307 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/Makefile' 2024-03-06 23:03:14,308 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/README.md' 2024-03-06 23:03:14,310 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv.v' 2024-03-06 23:03:14,314 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv.yaml' 2024-03-06 23:03:14,316 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.v' 2024-03-06 23:03:14,320 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.yaml' 2024-03-06 23:03:14,322 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Full.v' 2024-03-06 23:03:14,326 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Full.yaml' 2024-03-06 23:03:14,328 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.v' 2024-03-06 23:03:14,332 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.yaml' 2024-03-06 23:03:14,334 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.v' 2024-03-06 23:03:14,339 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.yaml' 2024-03-06 23:03:14,341 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.v' 2024-03-06 23:03:14,347 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.yaml' 2024-03-06 23:03:14,348 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxNoDspFmax.v' 2024-03-06 23:03:14,354 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.v' 2024-03-06 23:03:14,358 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.yaml' 2024-03-06 23:03:14,360 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.v' 2024-03-06 23:03:14,363 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.yaml' 2024-03-06 23:03:14,365 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Min.v' 2024-03-06 23:03:14,368 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Min.yaml' 2024-03-06 23:03:14,369 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.v' 2024-03-06 23:03:14,373 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.yaml' 2024-03-06 23:03:14,374 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/build.sbt' 2024-03-06 23:03:14,375 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/project/build.properties' 2024-03-06 23:03:14,376 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/project/plugins.sbt' 2024-03-06 23:03:14,377 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv/GenCoreDefault.scala' 2024-03-06 23:03:14,379 wheel INFO adding 'pythondata_cpu_vexriscv-1.0.1.post314.dist-info/METADATA' 2024-03-06 23:03:14,379 wheel INFO adding 'pythondata_cpu_vexriscv-1.0.1.post314.dist-info/WHEEL' 2024-03-06 23:03:14,380 wheel INFO adding 'pythondata_cpu_vexriscv-1.0.1.post314.dist-info/top_level.txt' 2024-03-06 23:03:14,383 wheel INFO adding 'pythondata_cpu_vexriscv-1.0.1.post314.dist-info/RECORD' 2024-03-06 23:03:14,384 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:03:14,389 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_vexriscv-1.0.1.post314-py3-none-any.whl pythondata_cpu_vexriscv-1.0.1.post314-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-vexriscv_smp 2024-03-06 23:03:15,530 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:03:15,731 root INFO running bdist_wheel 2024-03-06 23:03:15,814 root INFO running build 2024-03-06 23:03:15,814 root INFO running build_py 2024-03-06 23:03:15,826 root INFO creating build 2024-03-06 23:03:15,827 root INFO creating build/lib 2024-03-06 23:03:15,827 root INFO creating build/lib/pythondata_cpu_vexriscv_smp 2024-03-06 23:03:15,828 root INFO copying pythondata_cpu_vexriscv_smp/__init__.py -> build/lib/pythondata_cpu_vexriscv_smp 2024-03-06 23:03:15,831 root INFO running egg_info 2024-03-06 23:03:15,832 root INFO creating pythondata_cpu_vexriscv_smp.egg-info 2024-03-06 23:03:15,839 root INFO writing pythondata_cpu_vexriscv_smp.egg-info/PKG-INFO 2024-03-06 23:03:15,842 root INFO writing dependency_links to pythondata_cpu_vexriscv_smp.egg-info/dependency_links.txt 2024-03-06 23:03:15,843 root INFO writing top-level names to pythondata_cpu_vexriscv_smp.egg-info/top_level.txt 2024-03-06 23:03:15,844 root INFO writing manifest file 'pythondata_cpu_vexriscv_smp.egg-info/SOURCES.txt' 2024-03-06 23:03:15,858 root INFO reading manifest file 'pythondata_cpu_vexriscv_smp.egg-info/SOURCES.txt' 2024-03-06 23:03:15,859 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:03:15,863 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:03:15,869 root INFO writing manifest file 'pythondata_cpu_vexriscv_smp.egg-info/SOURCES.txt' 2024-03-06 23:03:15,877 root INFO creating build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,877 root INFO copying pythondata_cpu_vexriscv_smp/verilog/README.md -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,878 root INFO copying pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Efinix.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,879 root INFO copying pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Generic.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,880 root INFO copying pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Intel.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,881 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Cdma_Ood_Wm.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,886 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,891 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,894 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,898 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,902 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,905 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,910 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,913 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,917 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ood_Wm.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,920 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Cdma_Ood_Wm.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,924 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,928 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,932 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,936 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,940 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ood_Wm.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,943 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,947 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,950 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,956 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,959 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc2_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:15,965 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc4_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,001 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:03:16,001 root INFO running install 2024-03-06 23:03:16,079 root INFO running install_lib 2024-03-06 23:03:16,089 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:03:16,090 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:03:16,090 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp 2024-03-06 23:03:16,091 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp 2024-03-06 23:03:16,092 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,093 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Efinix.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,093 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,098 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,102 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Cdma_Ood_Wm.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,106 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ood_Wm.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,109 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,111 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,116 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,120 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc2_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,127 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Cdma_Ood_Wm.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,130 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc4_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,138 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,144 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,148 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,151 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,154 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Generic.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,155 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,159 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,163 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ood_Wm.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,166 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,169 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Intel.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,170 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/README.md -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,171 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,175 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,178 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,183 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Cdma_Ood.v -> build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-03-06 23:03:16,186 root INFO running install_egg_info 2024-03-06 23:03:16,205 root INFO Copying pythondata_cpu_vexriscv_smp.egg-info to build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp-1.0.1.post325-py3.11.egg-info 2024-03-06 23:03:16,209 root INFO running install_scripts 2024-03-06 23:03:16,218 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/WHEEL 2024-03-06 23:03:16,221 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-cplw9ku9/pythondata_cpu_vexriscv_smp-1.0.1.post325-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:03:16,224 wheel INFO adding 'pythondata_cpu_vexriscv_smp/__init__.py' 2024-03-06 23:03:16,228 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/README.md' 2024-03-06 23:03:16,229 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Efinix.v' 2024-03-06 23:03:16,230 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Generic.v' 2024-03-06 23:03:16,232 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Intel.v' 2024-03-06 23:03:16,236 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Cdma_Ood_Wm.v' 2024-03-06 23:03:16,256 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Cdma_Ood.v' 2024-03-06 23:03:16,279 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Ood.v' 2024-03-06 23:03:16,298 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Cdma_Ood.v' 2024-03-06 23:03:16,320 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Ood.v' 2024-03-06 23:03:16,338 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Cdma_Ood.v' 2024-03-06 23:03:16,360 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Ood.v' 2024-03-06 23:03:16,378 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Cdma_Ood.v' 2024-03-06 23:03:16,401 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Ood.v' 2024-03-06 23:03:16,418 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ood_Wm.v' 2024-03-06 23:03:16,434 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Cdma_Ood_Wm.v' 2024-03-06 23:03:16,455 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Cdma_Ood.v' 2024-03-06 23:03:16,477 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Ood.v' 2024-03-06 23:03:16,496 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Cdma_Ood.v' 2024-03-06 23:03:16,518 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Ood.v' 2024-03-06 23:03:16,535 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ood_Wm.v' 2024-03-06 23:03:16,552 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v' 2024-03-06 23:03:16,576 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Ood.v' 2024-03-06 23:03:16,595 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Cdma_Ood.v' 2024-03-06 23:03:16,618 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Ood.v' 2024-03-06 23:03:16,639 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc2_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v' 2024-03-06 23:03:16,678 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc4_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v' 2024-03-06 23:03:16,728 wheel INFO adding 'pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/METADATA' 2024-03-06 23:03:16,729 wheel INFO adding 'pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/WHEEL' 2024-03-06 23:03:16,730 wheel INFO adding 'pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/top_level.txt' 2024-03-06 23:03:16,733 wheel INFO adding 'pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/RECORD' 2024-03-06 23:03:16,735 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:03:16,746 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_cpu_vexriscv_smp-1.0.1.post325-py3-none-any.whl pythondata_cpu_vexriscv_smp-1.0.1.post325-py3-none-any.whl --> Building LiteX Hub module pythondata-misc-tapcfg 2024-03-06 23:03:17,880 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:03:18,081 root INFO running bdist_wheel 2024-03-06 23:03:18,164 root INFO running build 2024-03-06 23:03:18,164 root INFO running build_py 2024-03-06 23:03:18,176 root INFO creating build 2024-03-06 23:03:18,177 root INFO creating build/lib 2024-03-06 23:03:18,177 root INFO creating build/lib/pythondata_misc_tapcfg 2024-03-06 23:03:18,178 root INFO copying pythondata_misc_tapcfg/__init__.py -> build/lib/pythondata_misc_tapcfg 2024-03-06 23:03:18,183 root INFO running egg_info 2024-03-06 23:03:18,183 root INFO creating pythondata_misc_tapcfg.egg-info 2024-03-06 23:03:18,191 root INFO writing pythondata_misc_tapcfg.egg-info/PKG-INFO 2024-03-06 23:03:18,194 root INFO writing dependency_links to pythondata_misc_tapcfg.egg-info/dependency_links.txt 2024-03-06 23:03:18,195 root INFO writing top-level names to pythondata_misc_tapcfg.egg-info/top_level.txt 2024-03-06 23:03:18,196 root INFO writing manifest file 'pythondata_misc_tapcfg.egg-info/SOURCES.txt' 2024-03-06 23:03:18,212 root INFO reading manifest file 'pythondata_misc_tapcfg.egg-info/SOURCES.txt' 2024-03-06 23:03:18,213 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:03:18,218 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:03:18,225 root INFO writing manifest file 'pythondata_misc_tapcfg.egg-info/SOURCES.txt' 2024-03-06 23:03:18,235 root INFO creating build/lib/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,235 root INFO copying pythondata_misc_tapcfg/data/.arcconfig -> build/lib/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,236 root INFO copying pythondata_misc_tapcfg/data/COPYING -> build/lib/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,237 root INFO copying pythondata_misc_tapcfg/data/ChangeLog -> build/lib/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,238 root INFO copying pythondata_misc_tapcfg/data/README -> build/lib/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,239 root INFO copying pythondata_misc_tapcfg/data/SConstruct -> build/lib/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,240 root INFO copying pythondata_misc_tapcfg/data/buildall.sh -> build/lib/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,241 root INFO creating build/lib/pythondata_misc_tapcfg/data/src 2024-03-06 23:03:18,242 root INFO copying pythondata_misc_tapcfg/data/src/SConscript -> build/lib/pythondata_misc_tapcfg/data/src 2024-03-06 23:03:18,243 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/demos 2024-03-06 23:03:18,243 root INFO copying pythondata_misc_tapcfg/data/src/demos/TAPNetTest.cs -> build/lib/pythondata_misc_tapcfg/data/src/demos 2024-03-06 23:03:18,244 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/include 2024-03-06 23:03:18,244 root INFO copying pythondata_misc_tapcfg/data/src/include/tapcfg.h -> build/lib/pythondata_misc_tapcfg/data/src/include 2024-03-06 23:03:18,245 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,246 root INFO copying pythondata_misc_tapcfg/data/src/daemon/client.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,247 root INFO copying pythondata_misc_tapcfg/data/src/daemon/client.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,248 root INFO copying pythondata_misc_tapcfg/data/src/daemon/daemon.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,249 root INFO copying pythondata_misc_tapcfg/data/src/daemon/daemon.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,249 root INFO copying pythondata_misc_tapcfg/data/src/daemon/main.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,250 root INFO copying pythondata_misc_tapcfg/data/src/daemon/serversock.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,251 root INFO copying pythondata_misc_tapcfg/data/src/daemon/serversock.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,252 root INFO copying pythondata_misc_tapcfg/data/src/daemon/tapdemo.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,253 root INFO copying pythondata_misc_tapcfg/data/src/daemon/tapserver.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,254 root INFO copying pythondata_misc_tapcfg/data/src/daemon/tapserver.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,255 root INFO copying pythondata_misc_tapcfg/data/src/daemon/threads.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,256 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,256 root INFO copying pythondata_misc_tapcfg/data/src/lib/dlpi.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,257 root INFO copying pythondata_misc_tapcfg/data/src/lib/dlpi.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,258 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,259 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_unix.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,260 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_bsd.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,261 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_linux.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,262 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_solaris.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,263 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_windows.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,264 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_windows_fixup.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,265 root INFO copying pythondata_misc_tapcfg/data/src/lib/taplog.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,266 root INFO copying pythondata_misc_tapcfg/data/src/lib/taplog.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,266 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,267 root INFO copying pythondata_misc_tapcfg/data/src/bindings/AssemblyInfo.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,268 root INFO copying pythondata_misc_tapcfg/data/src/bindings/EthernetFrame.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,269 root INFO copying pythondata_misc_tapcfg/data/src/bindings/NativeLib.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,270 root INFO copying pythondata_misc_tapcfg/data/src/bindings/TAPNet.dll.config -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,271 root INFO copying pythondata_misc_tapcfg/data/src/bindings/UTF8Marshaler.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,272 root INFO copying pythondata_misc_tapcfg/data/src/bindings/VirtualDevice.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,300 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:03:18,300 root INFO running install 2024-03-06 23:03:18,376 root INFO running install_lib 2024-03-06 23:03:18,386 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:03:18,386 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:03:18,387 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg 2024-03-06 23:03:18,387 root INFO copying build/lib/pythondata_misc_tapcfg/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg 2024-03-06 23:03:18,388 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,389 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src 2024-03-06 23:03:18,389 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/demos 2024-03-06 23:03:18,390 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/demos/TAPNetTest.cs -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/demos 2024-03-06 23:03:18,391 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/include 2024-03-06 23:03:18,391 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/include/tapcfg.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/include 2024-03-06 23:03:18,392 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,393 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/tapdemo.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,394 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/main.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,395 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/tapserver.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,395 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/client.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,396 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/client.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,397 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/daemon.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,398 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/serversock.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,399 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/daemon.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,399 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/threads.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,400 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/tapserver.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,401 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/serversock.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-03-06 23:03:18,402 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,402 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/dlpi.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,403 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_linux.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,404 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,405 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_bsd.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,406 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_solaris.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,406 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/taplog.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,407 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/taplog.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,408 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_windows_fixup.h -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,409 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/dlpi.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,409 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_windows.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,410 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_unix.c -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-03-06 23:03:18,411 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,412 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/AssemblyInfo.cs -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,413 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/NativeLib.cs -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,413 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/TAPNet.dll.config -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,414 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/VirtualDevice.cs -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,415 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/UTF8Marshaler.cs -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,416 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/EthernetFrame.cs -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-03-06 23:03:18,416 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/SConscript -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data/src 2024-03-06 23:03:18,417 root INFO copying build/lib/pythondata_misc_tapcfg/data/ChangeLog -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,418 root INFO copying build/lib/pythondata_misc_tapcfg/data/COPYING -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,419 root INFO copying build/lib/pythondata_misc_tapcfg/data/.arcconfig -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,419 root INFO copying build/lib/pythondata_misc_tapcfg/data/buildall.sh -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,420 root INFO copying build/lib/pythondata_misc_tapcfg/data/SConstruct -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,421 root INFO copying build/lib/pythondata_misc_tapcfg/data/README -> build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg/data 2024-03-06 23:03:18,422 root INFO running install_egg_info 2024-03-06 23:03:18,439 root INFO Copying pythondata_misc_tapcfg.egg-info to build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg-0.0.post424-py3.11.egg-info 2024-03-06 23:03:18,443 root INFO running install_scripts 2024-03-06 23:03:18,452 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_misc_tapcfg-0.0.post424.dist-info/WHEEL 2024-03-06 23:03:18,455 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-azmz0v2o/pythondata_misc_tapcfg-0.0.post424-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:03:18,458 wheel INFO adding 'pythondata_misc_tapcfg/__init__.py' 2024-03-06 23:03:18,462 wheel INFO adding 'pythondata_misc_tapcfg/data/.arcconfig' 2024-03-06 23:03:18,463 wheel INFO adding 'pythondata_misc_tapcfg/data/COPYING' 2024-03-06 23:03:18,464 wheel INFO adding 'pythondata_misc_tapcfg/data/ChangeLog' 2024-03-06 23:03:18,466 wheel INFO adding 'pythondata_misc_tapcfg/data/README' 2024-03-06 23:03:18,467 wheel INFO adding 'pythondata_misc_tapcfg/data/SConstruct' 2024-03-06 23:03:18,468 wheel INFO adding 'pythondata_misc_tapcfg/data/buildall.sh' 2024-03-06 23:03:18,469 wheel INFO adding 'pythondata_misc_tapcfg/data/src/SConscript' 2024-03-06 23:03:18,470 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/AssemblyInfo.cs' 2024-03-06 23:03:18,471 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/EthernetFrame.cs' 2024-03-06 23:03:18,472 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/NativeLib.cs' 2024-03-06 23:03:18,474 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/TAPNet.dll.config' 2024-03-06 23:03:18,475 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/UTF8Marshaler.cs' 2024-03-06 23:03:18,475 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/VirtualDevice.cs' 2024-03-06 23:03:18,477 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/client.c' 2024-03-06 23:03:18,478 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/client.h' 2024-03-06 23:03:18,479 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/daemon.c' 2024-03-06 23:03:18,480 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/daemon.h' 2024-03-06 23:03:18,481 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/main.c' 2024-03-06 23:03:18,481 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/serversock.c' 2024-03-06 23:03:18,482 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/serversock.h' 2024-03-06 23:03:18,483 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/tapdemo.c' 2024-03-06 23:03:18,485 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/tapserver.c' 2024-03-06 23:03:18,486 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/tapserver.h' 2024-03-06 23:03:18,487 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/threads.h' 2024-03-06 23:03:18,488 wheel INFO adding 'pythondata_misc_tapcfg/data/src/demos/TAPNetTest.cs' 2024-03-06 23:03:18,489 wheel INFO adding 'pythondata_misc_tapcfg/data/src/include/tapcfg.h' 2024-03-06 23:03:18,490 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/dlpi.c' 2024-03-06 23:03:18,491 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/dlpi.h' 2024-03-06 23:03:18,492 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg.c' 2024-03-06 23:03:18,493 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_unix.c' 2024-03-06 23:03:18,494 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_bsd.h' 2024-03-06 23:03:18,495 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_linux.h' 2024-03-06 23:03:18,496 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_solaris.h' 2024-03-06 23:03:18,497 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_windows.c' 2024-03-06 23:03:18,499 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_windows_fixup.h' 2024-03-06 23:03:18,500 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/taplog.c' 2024-03-06 23:03:18,501 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/taplog.h' 2024-03-06 23:03:18,502 wheel INFO adding 'pythondata_misc_tapcfg-0.0.post424.dist-info/METADATA' 2024-03-06 23:03:18,503 wheel INFO adding 'pythondata_misc_tapcfg-0.0.post424.dist-info/WHEEL' 2024-03-06 23:03:18,504 wheel INFO adding 'pythondata_misc_tapcfg-0.0.post424.dist-info/top_level.txt' 2024-03-06 23:03:18,506 wheel INFO adding 'pythondata_misc_tapcfg-0.0.post424.dist-info/RECORD' 2024-03-06 23:03:18,508 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:03:18,513 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_misc_tapcfg-0.0.post424-py3-none-any.whl pythondata_misc_tapcfg-0.0.post424-py3-none-any.whl --> Building LiteX Hub module pythondata-software-compiler_rt 2024-03-06 23:03:19,654 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:03:19,893 root INFO running bdist_wheel 2024-03-06 23:03:19,977 root INFO running build 2024-03-06 23:03:19,977 root INFO running build_py 2024-03-06 23:03:19,989 root INFO creating build 2024-03-06 23:03:19,989 root INFO creating build/lib 2024-03-06 23:03:19,990 root INFO creating build/lib/pythondata_software_compiler_rt 2024-03-06 23:03:19,990 root INFO copying pythondata_software_compiler_rt/__init__.py -> build/lib/pythondata_software_compiler_rt 2024-03-06 23:03:20,007 root INFO creating build/lib/pythondata_software_compiler_rt/data 2024-03-06 23:03:20,008 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib 2024-03-06 23:03:20,008 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,008 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-03-06 23:03:20,009 root INFO copying pythondata_software_compiler_rt/data/lib/asan/scripts/asan_symbolize.py -> build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-03-06 23:03:20,015 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,015 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:20,016 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/cpplint.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:20,018 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint_test.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:20,018 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/gen_dynamic_list.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:20,019 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:20,020 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/sancov.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:20,022 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:20,022 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-03-06 23:03:20,023 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-03-06 23:03:20,038 root INFO creating build/lib/pythondata_software_compiler_rt/data/test 2024-03-06 23:03:20,038 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan 2024-03-06 23:03:20,038 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-03-06 23:03:20,039 root INFO copying pythondata_software_compiler_rt/data/test/asan/android_commands/android_common.py -> build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-03-06 23:03:20,040 root INFO copying pythondata_software_compiler_rt/data/test/asan/android_commands/android_run.py -> build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-03-06 23:03:20,041 root INFO copying pythondata_software_compiler_rt/data/test/asan/android_commands/android_compile.py -> build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-03-06 23:03:20,065 root INFO running egg_info 2024-03-06 23:03:20,065 root INFO creating pythondata_software_compiler_rt.egg-info 2024-03-06 23:03:20,073 root INFO writing pythondata_software_compiler_rt.egg-info/PKG-INFO 2024-03-06 23:03:20,075 root INFO writing dependency_links to pythondata_software_compiler_rt.egg-info/dependency_links.txt 2024-03-06 23:03:20,077 root INFO writing top-level names to pythondata_software_compiler_rt.egg-info/top_level.txt 2024-03-06 23:03:20,078 root INFO writing manifest file 'pythondata_software_compiler_rt.egg-info/SOURCES.txt' 2024-03-06 23:03:20,189 root INFO reading manifest file 'pythondata_software_compiler_rt.egg-info/SOURCES.txt' 2024-03-06 23:03:20,191 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:03:20,334 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:03:20,498 root INFO writing manifest file 'pythondata_software_compiler_rt.egg-info/SOURCES.txt' 2024-03-06 23:03:20,847 root INFO copying pythondata_software_compiler_rt/data/.arcconfig -> build/lib/pythondata_software_compiler_rt/data 2024-03-06 23:03:20,848 root INFO copying pythondata_software_compiler_rt/data/.gitignore -> build/lib/pythondata_software_compiler_rt/data 2024-03-06 23:03:20,849 root INFO copying pythondata_software_compiler_rt/data/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data 2024-03-06 23:03:20,850 root INFO copying pythondata_software_compiler_rt/data/CODE_OWNERS.TXT -> build/lib/pythondata_software_compiler_rt/data 2024-03-06 23:03:20,851 root INFO copying pythondata_software_compiler_rt/data/CREDITS.TXT -> build/lib/pythondata_software_compiler_rt/data 2024-03-06 23:03:20,852 root INFO copying pythondata_software_compiler_rt/data/LICENSE.TXT -> build/lib/pythondata_software_compiler_rt/data 2024-03-06 23:03:20,853 root INFO copying pythondata_software_compiler_rt/data/Makefile -> build/lib/pythondata_software_compiler_rt/data 2024-03-06 23:03:20,854 root INFO copying pythondata_software_compiler_rt/data/README.txt -> build/lib/pythondata_software_compiler_rt/data 2024-03-06 23:03:20,855 root INFO creating build/lib/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:20,855 root INFO copying pythondata_software_compiler_rt/data/make/AppleBI.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:20,856 root INFO copying pythondata_software_compiler_rt/data/make/config.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:20,857 root INFO copying pythondata_software_compiler_rt/data/make/filter-inputs -> build/lib/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:20,858 root INFO copying pythondata_software_compiler_rt/data/make/lib_info.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:20,859 root INFO copying pythondata_software_compiler_rt/data/make/lib_platforms.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:20,860 root INFO copying pythondata_software_compiler_rt/data/make/lib_util.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:20,861 root INFO copying pythondata_software_compiler_rt/data/make/options.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:20,862 root INFO copying pythondata_software_compiler_rt/data/make/subdir.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:20,863 root INFO copying pythondata_software_compiler_rt/data/make/util.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:20,864 root INFO creating build/lib/pythondata_software_compiler_rt/data/include 2024-03-06 23:03:20,864 root INFO copying pythondata_software_compiler_rt/data/include/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/include 2024-03-06 23:03:20,865 root INFO creating build/lib/pythondata_software_compiler_rt/data/cmake 2024-03-06 23:03:20,866 root INFO copying pythondata_software_compiler_rt/data/cmake/config-ix.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake 2024-03-06 23:03:20,867 root INFO creating build/lib/pythondata_software_compiler_rt/data/www 2024-03-06 23:03:20,867 root INFO copying pythondata_software_compiler_rt/data/www/content.css -> build/lib/pythondata_software_compiler_rt/data/www 2024-03-06 23:03:20,868 root INFO copying pythondata_software_compiler_rt/data/www/index.html -> build/lib/pythondata_software_compiler_rt/data/www 2024-03-06 23:03:20,869 root INFO copying pythondata_software_compiler_rt/data/www/menu.css -> build/lib/pythondata_software_compiler_rt/data/www 2024-03-06 23:03:20,870 root INFO copying pythondata_software_compiler_rt/data/www/menu.html.incl -> build/lib/pythondata_software_compiler_rt/data/www 2024-03-06 23:03:20,871 root INFO copying pythondata_software_compiler_rt/data/lib/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib 2024-03-06 23:03:20,872 root INFO copying pythondata_software_compiler_rt/data/lib/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib 2024-03-06 23:03:20,873 root INFO creating build/lib/pythondata_software_compiler_rt/data/unittests 2024-03-06 23:03:20,874 root INFO copying pythondata_software_compiler_rt/data/unittests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/unittests 2024-03-06 23:03:20,875 root INFO copying pythondata_software_compiler_rt/data/unittests/lit.common.unit.cfg -> build/lib/pythondata_software_compiler_rt/data/unittests 2024-03-06 23:03:20,876 root INFO copying pythondata_software_compiler_rt/data/unittests/lit.common.unit.configured.in -> build/lib/pythondata_software_compiler_rt/data/unittests 2024-03-06 23:03:20,876 root INFO copying pythondata_software_compiler_rt/data/test/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test 2024-03-06 23:03:20,877 root INFO copying pythondata_software_compiler_rt/data/test/lit.common.cfg -> build/lib/pythondata_software_compiler_rt/data/test 2024-03-06 23:03:20,878 root INFO copying pythondata_software_compiler_rt/data/test/lit.common.configured.in -> build/lib/pythondata_software_compiler_rt/data/test 2024-03-06 23:03:20,879 root INFO creating build/lib/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:20,880 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_darwin.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:20,881 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_darwin_test_input.c -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:20,882 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_linux.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:20,883 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_linux_test_input.c -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:20,883 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:20,884 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded_test_input.c -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:20,885 root INFO copying pythondata_software_compiler_rt/data/make/platform/darwin_bni.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:20,886 root INFO copying pythondata_software_compiler_rt/data/make/platform/multi_arch.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:20,887 root INFO creating build/lib/pythondata_software_compiler_rt/data/make/test 2024-03-06 23:03:20,887 root INFO copying pythondata_software_compiler_rt/data/make/test/test-util.mk -> build/lib/pythondata_software_compiler_rt/data/make/test 2024-03-06 23:03:20,888 root INFO creating build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:20,889 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/allocator_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:20,890 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/asan_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:20,891 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/common_interface_defs.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:20,891 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/coverage_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:20,892 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/dfsan_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:20,893 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/linux_syscall_hooks.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:20,894 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/lsan_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:20,895 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/msan_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:20,896 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/tsan_interface_atomic.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:20,897 root INFO creating build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:20,898 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/AddCompilerRT.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:20,898 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTCompile.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:20,899 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTDarwinUtils.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:20,900 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTLink.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:20,901 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTUtils.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:20,902 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/SanitizerUtils.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:20,903 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,903 root INFO copying pythondata_software_compiler_rt/data/lib/profile/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,904 root INFO copying pythondata_software_compiler_rt/data/lib/profile/GCDAProfiling.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,905 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,906 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.h -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,907 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingBuffer.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,908 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingFile.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,909 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingInternal.h -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,909 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformDarwin.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,910 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformLinux.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,911 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformOther.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,912 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingRuntime.cc -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,913 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,914 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.h -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,914 root INFO copying pythondata_software_compiler_rt/data/lib/profile/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:20,915 root INFO copying pythondata_software_compiler_rt/data/lib/asan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,916 root INFO copying pythondata_software_compiler_rt/data/lib/asan/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,917 root INFO copying pythondata_software_compiler_rt/data/lib/asan/README.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,918 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,919 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_activation.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,919 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_activation.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,920 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_activation_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,921 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,922 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,923 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_blacklist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,924 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_debugging.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,925 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,926 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,927 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_flags.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,927 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,928 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,929 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_globals.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,930 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_init_version.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,931 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,932 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,933 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_interface_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,933 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,934 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,935 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_lock.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,936 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,937 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_malloc_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,938 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_malloc_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,939 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_malloc_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,939 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_mapping.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,940 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_new_delete.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,941 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,942 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,943 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,944 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_preinit.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,945 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_report.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,945 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_report.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,946 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_rtl.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,947 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_stack.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,948 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_stack.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,949 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_stats.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,950 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_stats.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,951 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,951 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,952 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,953 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_thread.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,954 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,955 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_win_dll_thunk.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,956 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_win_dynamic_runtime_thunk.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:20,957 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:20,957 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:20,958 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/Makefile.old -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:20,959 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/analyze_libtsan.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:20,960 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/check_analyze.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:20,961 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/check_cmake.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:20,961 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/check_memcpy.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:20,962 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/safestack 2024-03-06 23:03:20,963 root INFO copying pythondata_software_compiler_rt/data/lib/safestack/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/safestack 2024-03-06 23:03:20,964 root INFO copying pythondata_software_compiler_rt/data/lib/safestack/safestack.cc -> build/lib/pythondata_software_compiler_rt/data/lib/safestack 2024-03-06 23:03:20,964 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/cfi 2024-03-06 23:03:20,965 root INFO copying pythondata_software_compiler_rt/data/lib/cfi/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/cfi 2024-03-06 23:03:20,966 root INFO copying pythondata_software_compiler_rt/data/lib/cfi/cfi_blacklist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/cfi 2024-03-06 23:03:20,967 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,967 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,968 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,969 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,970 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_checks.inc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,970 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,971 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,972 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,973 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,974 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,975 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,976 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,976 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,977 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,978 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,979 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,980 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init_standalone.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,981 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_platform.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,981 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,982 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,983 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_itanium.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,984 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,985 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,986 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:20,987 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,987 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,988 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_addrhashmap.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,989 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,990 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,991 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_interface.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,992 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,993 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_asm.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,994 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,994 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,995 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_other.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,996 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_x86.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,997 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_msvc.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,998 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bitvector.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:20,999 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bvgraph.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,000 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,000 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,001 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,003 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_format.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,004 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,005 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,005 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_nolibc.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,006 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_syscalls.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,007 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,008 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_mapping_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,009 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,010 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector1.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,011 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector2.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,012 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector_interface.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,012 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,013 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,014 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,015 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,016 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,017 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_freebsd.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,018 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_interface_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,019 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_internal_defs.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,020 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_lfstack.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,020 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,021 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,022 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,023 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,024 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,025 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,026 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,026 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_list.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,027 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,028 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,029 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_malloc_mac.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,030 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mutex.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,031 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,032 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,033 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_placement_new.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,033 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,034 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_interceptors.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,035 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,036 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,037 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,038 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,039 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,040 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,040 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_printf.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,041 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,042 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_common.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,043 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_freebsd.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,044 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,045 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,046 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_quarantine.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,047 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_report_decorator.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,048 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,048 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,049 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepotbase.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,050 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,051 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,052 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,053 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,054 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,054 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,055 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld_linux_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,056 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,057 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,058 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,059 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,060 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,061 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,061 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,062 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,063 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,064 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,065 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_posix_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,066 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,067 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_generic.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,068 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_aarch64.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,068 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_x86_64.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,069 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,070 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,071 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,072 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,073 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_unwind_linux_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,074 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:21,074 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,075 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,076 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,077 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,078 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan.h -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,078 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,079 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,080 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_common.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,081 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_common.h -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,082 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_common_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,083 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,084 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,085 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_preinit.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,085 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,086 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.h -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:21,087 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-03-06 23:03:21,088 root INFO copying pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block.h -> build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-03-06 23:03:21,088 root INFO copying pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block_private.h -> build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-03-06 23:03:21,089 root INFO copying pythondata_software_compiler_rt/data/lib/BlocksRuntime/data.c -> build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-03-06 23:03:21,090 root INFO copying pythondata_software_compiler_rt/data/lib/BlocksRuntime/runtime.c -> build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-03-06 23:03:21,091 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:21,092 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan.cc -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:21,093 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan.h -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:21,094 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:21,095 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan_custom.cc -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:21,095 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:21,096 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:21,097 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/done_abilist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:21,098 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/libc_ubuntu1404_abilist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:21,099 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,100 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,100 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,101 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/README.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,102 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/absvdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,103 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/absvsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,104 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/absvti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,105 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/adddf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,105 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addsf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,106 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addtf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,107 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addvdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,108 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addvsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,109 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addvti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,110 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/apple_versioning.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,111 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ashldi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,111 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ashlti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,112 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ashrdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,113 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ashrti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,114 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/assembly.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,115 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,116 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,116 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear_explicit.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,117 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,118 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set_explicit.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,119 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_signal_fence.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,120 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_thread_fence.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,121 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/clear_cache.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,122 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/clzdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,122 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/clzsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,123 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/clzti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,124 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/cmpdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,125 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/cmpti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,126 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/comparedf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,127 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/comparesf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,127 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/comparetf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,128 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ctzdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,129 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ctzsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,130 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ctzti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,131 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divdc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,132 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divdf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,133 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,134 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divmoddi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,134 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divmodsi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,135 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divsc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,136 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divsf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,137 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,138 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divtf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,139 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,139 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divxc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,140 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/emutls.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,141 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/enable_execute_stack.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,142 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/eprintf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,143 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/extenddftf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,144 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/extendhfsf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,145 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/extendsfdf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,145 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/extendsftf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,146 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ffsdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,147 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ffsti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,148 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixdfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,149 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixdfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,150 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixdfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,150 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixsfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,151 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixsfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,152 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixsfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,153 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixtfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,154 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixtfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,155 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixtfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,155 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsdfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,156 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsdfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,157 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsdfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,158 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunssfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,159 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunssfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,160 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunssfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,161 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunstfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,161 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunstfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,162 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunstfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,163 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsxfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,164 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsxfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,165 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsxfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,166 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixxfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,166 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixxfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,167 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatdidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,168 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatdisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,169 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatditf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,170 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatdixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,171 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatsidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,171 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatsisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,172 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatsitf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,173 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floattidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,174 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floattisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,175 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floattixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,176 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatundidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,177 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatundisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,177 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatunditf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,178 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatundixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,179 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatunsidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,180 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatunsisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,181 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatunsitf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,182 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatuntidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,183 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatuntisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,183 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatuntixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,184 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_add_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,185 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_extend.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,186 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_extend_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,187 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_fixint_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,188 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_fixuint_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,188 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_lib.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,189 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_mul_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,190 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_trunc.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,191 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_trunc_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,192 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/gcc_personality_v0.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,193 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_endianness.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,194 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_lib.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,195 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_math.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,195 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_types.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,196 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_util.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,197 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_util.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,198 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/lshrdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,199 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/lshrti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,200 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/moddi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,201 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/modsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,201 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/modti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,202 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/muldc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,203 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/muldf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,204 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/muldi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,205 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulodi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,206 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulosi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,206 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/muloti4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,207 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulsc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,208 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulsf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,209 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/multc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,210 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/multf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,211 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/multi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,212 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulvdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,212 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulvsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,213 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulvti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,214 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulxc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,215 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negdf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,216 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,217 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negsf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,218 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,218 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negvdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,219 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negvsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,220 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negvti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,221 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/paritydi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,222 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/paritysi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,223 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/parityti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,224 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/popcountdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,224 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/popcountsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,225 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/popcountti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,226 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/powidf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,227 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/powisf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,228 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/powitf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,229 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/powixf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,230 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subdf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,230 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subsf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,231 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subtf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,232 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subvdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,233 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subvsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,234 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subvti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,235 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/trampoline_setup.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,236 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/truncdfhf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,236 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/truncdfsf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,237 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/truncsfhf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,238 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/trunctfdf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,239 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/trunctfsf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,240 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ucmpdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,241 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ucmpti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,241 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,242 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivmoddi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,243 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivmodsi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,244 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivmodti4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,245 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,246 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,247 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/umoddi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,248 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/umodsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,248 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/umodti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:21,249 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,250 root INFO copying pythondata_software_compiler_rt/data/lib/msan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,251 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,252 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,252 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,253 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,254 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,255 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_blacklist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,256 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,257 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,258 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,258 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,259 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,260 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_interface_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,261 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,262 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_new_delete.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,263 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_origin.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,264 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,265 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,265 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_report.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,266 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,267 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_thread.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:21,268 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:21,268 root INFO copying pythondata_software_compiler_rt/data/lib/interception/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:21,269 root INFO copying pythondata_software_compiler_rt/data/lib/interception/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:21,270 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception.h -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:21,271 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:21,272 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_linux.h -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:21,273 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:21,273 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_mac.h -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:21,274 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_type_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:21,275 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:21,276 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_win.h -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:21,277 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,277 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,278 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_asm_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,279 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_benchmarks_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,280 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_exceptions_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,281 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_fake_stack_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,282 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_globals_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,282 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_interface_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,283 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,284 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,285 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test_helpers.mm -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,286 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_mem_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,287 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_noinst_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,288 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_oob_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,289 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_racy_double_free_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,289 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_str_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,290 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,291 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.ignore -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,292 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_config.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,293 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,294 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_utils.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:21,295 root INFO copying pythondata_software_compiler_rt/data/lib/asan/scripts/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-03-06 23:03:21,296 root INFO copying pythondata_software_compiler_rt/data/lib/asan/scripts/asan_device_setup -> build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-03-06 23:03:21,297 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests 2024-03-06 23:03:21,297 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests 2024-03-06 23:03:21,298 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-03-06 23:03:21,298 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/dd/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-03-06 23:03:21,299 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/dd/dd_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-03-06 23:03:21,300 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-03-06 23:03:21,301 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-03-06 23:03:21,302 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-03-06 23:03:21,302 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/go/build.bat -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-03-06 23:03:21,303 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/go/buildgo.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-03-06 23:03:21,304 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/go/test.c -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-03-06 23:03:21,305 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/go/tsan_go.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-03-06 23:03:21,306 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,306 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/Makefile.old -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,307 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,308 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,309 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,310 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_defs.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,311 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_dense_alloc.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,311 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,312 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,313 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,314 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,315 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,316 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,317 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,317 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,318 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,319 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,320 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,321 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,322 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,323 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_atomic.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,324 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_inl.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,325 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,325 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,326 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_malloc_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,327 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_md5.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,328 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,329 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,330 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,331 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,332 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,332 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,333 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_new_delete.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,334 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,335 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,336 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,337 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,338 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_windows.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,338 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,339 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,340 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,341 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,342 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_amd64.S -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,343 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,344 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_report.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,345 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,346 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,346 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,347 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,348 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,349 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,350 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,351 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,352 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,352 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,353 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,354 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_trace.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,355 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_update_shadow_word_inl.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,356 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_vector.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:21,357 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-03-06 23:03:21,357 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_local.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-03-06 23:03:21,358 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_shared.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-03-06 23:03:21,359 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/benchmarks/start_many_threads.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-03-06 23:03:21,360 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/benchmarks/vts_many_threads_bench.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-03-06 23:03:21,361 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:21,361 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:21,362 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_bench.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:21,363 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mop.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:21,364 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:21,365 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:21,365 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_string.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:21,366 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:21,367 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:21,368 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:21,369 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:21,370 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,370 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,371 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_clock_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,372 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_dense_alloc_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,373 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_flags_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,374 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mman_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,374 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutex_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,375 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutexset_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,376 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_shadow_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,377 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_stack_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,378 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_sync_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,379 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_unit_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,380 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_vector_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:21,380 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,381 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,382 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,383 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_testlib.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,384 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_atomic_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,385 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bitvector_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,386 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bvgraph_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,386 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_common_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,387 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_deadlock_detector_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,388 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_flags_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,389 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_format_interceptor_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,390 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_ioctl_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,391 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_libc_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,392 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_linux_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,393 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_list_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,394 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_mutex_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,395 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,395 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,396 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_posix_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,397 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_printf_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,398 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_procmaps_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,399 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_pthread_wrappers.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,400 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stackdepot_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,401 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_printer_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,402 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,402 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,403 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_testlib.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,404 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_suppressions_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,405 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_symbolizer_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,406 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_config.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,407 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,408 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_utils.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,409 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_thread_registry_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,410 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/standalone_malloc_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:21,411 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/check_lint.sh -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:21,411 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-03-06 23:03:21,413 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/scripts/check_custom_wrappers.sh -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-03-06 23:03:21,413 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/armv6m 2024-03-06 23:03:21,414 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/armv6m/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/armv6m 2024-03-06 23:03:21,415 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,415 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4-x86_64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,416 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,417 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,418 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/README.TXT -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,419 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,420 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7s.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,421 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,422 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,422 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7s.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,423 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios7-arm64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,424 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-i386.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,425 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-x86_64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,426 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,427 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-i386.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,428 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-x86_64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,429 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:21,430 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:21,430 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:21,431 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/arm.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:21,432 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/common.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:21,433 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/i386.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:21,434 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2-64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:21,435 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:21,435 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,436 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,437 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/adddf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,438 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/addsf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,439 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,439 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmpeq_check_nan.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,440 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,441 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmpeq_check_nan.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,442 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_dcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,443 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_div0.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,444 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_drsub.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,445 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_fcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,446 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_frsub.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,447 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_idivmod.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,447 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_ldivmod.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,448 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,449 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcpy.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,450 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memmove.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,451 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memset.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,452 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uidivmod.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,453 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uldivmod.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,454 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/bswapdi2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,455 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/bswapsi2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,456 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/clzdi2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,456 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/clzsi2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,457 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/comparesf2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,458 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/divdf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,459 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/divmodsi4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,460 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/divsf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,461 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/divsi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,462 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/eqdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,463 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/eqsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,463 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/extendsfdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,464 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/fixdfsivfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,465 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/fixsfsivfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,466 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/fixunsdfsivfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,467 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/fixunssfsivfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,468 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/floatsidfvfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,469 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/floatsisfvfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,469 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssidfvfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,470 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssisfvfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,471 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/gedf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,472 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/gesf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,473 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/gtdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,474 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/gtsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,475 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/ledf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,475 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/lesf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,476 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/ltdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,477 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/ltsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,478 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/modsi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,479 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/muldf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,480 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/mulsf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,481 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/nedf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,481 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/negdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,482 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/negsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,483 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/nesf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,484 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/restore_vfp_d8_d15_regs.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,485 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/save_vfp_d8_d15_regs.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,486 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/softfloat-alias.list -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,487 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/subdf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,487 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/subsf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,488 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/switch16.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,489 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/switch32.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,490 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/switch8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,491 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/switchu8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,492 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync-ops.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,493 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,493 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,494 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,495 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,496 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,497 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,498 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,498 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,499 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,500 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,501 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,502 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,503 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,504 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,504 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,505 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,506 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,507 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,508 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,509 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,510 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_synchronize.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,510 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/truncdfsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,511 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/udivmodsi4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,512 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/udivsi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,513 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/umodsi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,514 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/unorddf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,515 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/unordsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:21,516 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:21,516 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:21,517 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:21,518 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:21,519 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:21,519 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:21,520 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:21,521 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundidf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:21,522 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundisf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:21,523 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundixf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:21,524 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,524 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,525 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/ashldi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,526 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/ashrdi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,527 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,528 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,528 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/divdi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,529 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatdidf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,530 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatdisf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,531 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatdixf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,532 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatundidf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,533 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatundisf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,533 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatundixf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,534 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/lshrdi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,535 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/moddi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,536 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/muldi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,537 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/udivdi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,538 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/umoddi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:21,538 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,539 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/DD.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,540 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,541 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/divtc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,541 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/fixtfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,542 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/fixunstfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,543 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/floatditf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,544 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/floatunditf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,545 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qadd.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,546 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qdiv.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,547 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qmul.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,547 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qsub.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,548 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/multc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,549 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/restFP.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,550 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/saveFP.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:21,551 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm64 2024-03-06 23:03:21,551 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm64/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm64 2024-03-06 23:03:21,552 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-03-06 23:03:21,553 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-03-06 23:03:21,553 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/msan_loadable.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-03-06 23:03:21,554 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/msan_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-03-06 23:03:21,555 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_config.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-03-06 23:03:21,556 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-03-06 23:03:21,557 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,558 root INFO copying pythondata_software_compiler_rt/data/test/profile/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,558 root INFO copying pythondata_software_compiler_rt/data/test/profile/gcc-flag-compatibility.test -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,559 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-basic.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,560 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-dlopen.test -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,561 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-one-shared.test -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,562 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-two-shared.test -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,563 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-then-reset-default.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,563 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-with-env.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,564 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,565 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-reset-counters.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,566 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename-then-reset-default.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,567 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,568 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-without-libc.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,568 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-atexit-explicitly.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,569 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-only.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,570 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-write-file.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,571 root INFO copying pythondata_software_compiler_rt/data/test/profile/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,572 root INFO copying pythondata_software_compiler_rt/data/test/profile/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:21,573 root INFO copying pythondata_software_compiler_rt/data/test/asan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/asan 2024-03-06 23:03:21,573 root INFO copying pythondata_software_compiler_rt/data/test/asan/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan 2024-03-06 23:03:21,574 root INFO copying pythondata_software_compiler_rt/data/test/asan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/asan 2024-03-06 23:03:21,575 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,576 root INFO copying pythondata_software_compiler_rt/data/test/tsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,576 root INFO copying pythondata_software_compiler_rt/data/test/tsan/aligned_vs_unaligned_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,577 root INFO copying pythondata_software_compiler_rt/data/test/tsan/allocator_returns_null.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,578 root INFO copying pythondata_software_compiler_rt/data/test/tsan/annotate_happens_before.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,579 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atexit.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,580 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atexit2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,581 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,581 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_free2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,582 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_free3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,583 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,584 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,585 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,586 root INFO copying pythondata_software_compiler_rt/data/test/tsan/barrier.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,586 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench.h -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,587 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_acquire_only.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,588 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_acquire_release.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,589 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_local_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,590 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,591 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_release_only.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,591 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_rwmutex.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,592 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_shadow_flush.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,593 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_single_writer.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,594 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_ten_mutexes.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,595 root INFO copying pythondata_software_compiler_rt/data/test/tsan/benign_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,596 root INFO copying pythondata_software_compiler_rt/data/test/tsan/blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,597 root INFO copying pythondata_software_compiler_rt/data/test/tsan/blacklist2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,597 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,598 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond_cancel.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,599 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond_destruction.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,600 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,601 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond_version.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,602 root INFO copying pythondata_software_compiler_rt/data/test/tsan/deadlock_detector_stress_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,603 root INFO copying pythondata_software_compiler_rt/data/test/tsan/deep_stack1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,603 root INFO copying pythondata_software_compiler_rt/data/test/tsan/default_options.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,604 root INFO copying pythondata_software_compiler_rt/data/test/tsan/deflake.bash -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,605 root INFO copying pythondata_software_compiler_rt/data/test/tsan/dl_iterate_phdr.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,606 root INFO copying pythondata_software_compiler_rt/data/test/tsan/dlclose.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,607 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_close_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,608 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_close_norace2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,608 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,609 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,610 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_dup_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,611 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_location.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,612 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_pipe_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,613 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_pipe_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,613 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_socket_connect_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,614 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_socket_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,615 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_socketpair_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,616 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_stdout_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,617 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fork_atexit.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,618 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fork_deadlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,618 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,619 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,620 root INFO copying pythondata_software_compiler_rt/data/test/tsan/free_race.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,621 root INFO copying pythondata_software_compiler_rt/data/test/tsan/free_race.c.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,622 root INFO copying pythondata_software_compiler_rt/data/test/tsan/free_race2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,623 root INFO copying pythondata_software_compiler_rt/data/test/tsan/getline_nohang.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,623 root INFO copying pythondata_software_compiler_rt/data/test/tsan/global_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,624 root INFO copying pythondata_software_compiler_rt/data/test/tsan/global_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,625 root INFO copying pythondata_software_compiler_rt/data/test/tsan/global_race3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,626 root INFO copying pythondata_software_compiler_rt/data/test/tsan/halt_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,627 root INFO copying pythondata_software_compiler_rt/data/test/tsan/heap_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,628 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,628 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,629 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,630 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,631 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,632 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,633 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,634 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,634 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,635 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib_lib.h -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,636 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_malloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,637 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,638 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_sync.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,639 root INFO copying pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,639 root INFO copying pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,640 root INFO copying pythondata_software_compiler_rt/data/test/tsan/interface_atomic_test.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,641 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java.h -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,642 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_alloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,643 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_finalizer.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,644 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_heap_init.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,645 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_lock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,645 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_lock_move.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,646 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_lock_rec.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,647 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_lock_rec_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,648 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_move_overlap.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,649 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_move_overlap_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,650 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,650 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_race_move.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,651 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_race_pc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,652 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_rwlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,653 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_symbolization.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,654 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_volatile.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,655 root INFO copying pythondata_software_compiler_rt/data/test/tsan/large_malloc_meta.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,655 root INFO copying pythondata_software_compiler_rt/data/test/tsan/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,656 root INFO copying pythondata_software_compiler_rt/data/test/tsan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,657 root INFO copying pythondata_software_compiler_rt/data/test/tsan/load_shared_lib.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,658 root INFO copying pythondata_software_compiler_rt/data/test/tsan/longjmp.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,659 root INFO copying pythondata_software_compiler_rt/data/test/tsan/longjmp2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,660 root INFO copying pythondata_software_compiler_rt/data/test/tsan/longjmp3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,661 root INFO copying pythondata_software_compiler_rt/data/test/tsan/longjmp4.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,661 root INFO copying pythondata_software_compiler_rt/data/test/tsan/malloc_overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,662 root INFO copying pythondata_software_compiler_rt/data/test/tsan/malloc_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,663 root INFO copying pythondata_software_compiler_rt/data/test/tsan/map32bit.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,664 root INFO copying pythondata_software_compiler_rt/data/test/tsan/memcmp_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,665 root INFO copying pythondata_software_compiler_rt/data/test/tsan/memcpy_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,666 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mmap_large.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,667 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mmap_stress.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,667 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mop1.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,668 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mop_with_offset.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,669 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mop_with_offset2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,670 root INFO copying pythondata_software_compiler_rt/data/test/tsan/must_deadlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,671 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_lock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,672 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_unlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,673 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_bad_unlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,673 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_cycle2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,674 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_destroy_locked.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,675 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_double_lock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,676 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,677 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,678 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,678 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset4.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,679 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset5.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,680 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset6.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,681 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset7.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,682 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset8.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,683 root INFO copying pythondata_software_compiler_rt/data/test/tsan/printf-1.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,683 root INFO copying pythondata_software_compiler_rt/data/test/tsan/pthread_atfork_deadlock.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,684 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_barrier.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,685 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_barrier2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,686 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_heap.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,687 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_mutex.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,688 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_mutex2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,689 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_puts.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,689 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_read.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,690 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_speculative_load.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,691 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_write.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,692 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_stress.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,693 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_top_suppression.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,694 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_top_suppression1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,695 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_with_finished_thread.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,695 root INFO copying pythondata_software_compiler_rt/data/test/tsan/real_deadlock_detector_stress_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,696 root INFO copying pythondata_software_compiler_rt/data/test/tsan/restore_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,697 root INFO copying pythondata_software_compiler_rt/data/test/tsan/setuid.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,698 root INFO copying pythondata_software_compiler_rt/data/test/tsan/setuid2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,699 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_cond.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,700 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_errno.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,700 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_longjmp.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,701 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_malloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,702 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_recursive.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,703 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_reset.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,704 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_sync.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,705 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_thread.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,706 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_write.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,706 root INFO copying pythondata_software_compiler_rt/data/test/tsan/sigsuspend.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,707 root INFO copying pythondata_software_compiler_rt/data/test/tsan/simple_race.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,708 root INFO copying pythondata_software_compiler_rt/data/test/tsan/simple_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,709 root INFO copying pythondata_software_compiler_rt/data/test/tsan/simple_stack.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,710 root INFO copying pythondata_software_compiler_rt/data/test/tsan/simple_stack2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,711 root INFO copying pythondata_software_compiler_rt/data/test/tsan/sleep_sync.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,712 root INFO copying pythondata_software_compiler_rt/data/test/tsan/sleep_sync2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,713 root INFO copying pythondata_software_compiler_rt/data/test/tsan/stack_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,713 root INFO copying pythondata_software_compiler_rt/data/test/tsan/stack_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,714 root INFO copying pythondata_software_compiler_rt/data/test/tsan/stack_sync_reuse.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,715 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,716 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,717 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,718 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init4.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,718 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init5.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,719 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init6.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,720 root INFO copying pythondata_software_compiler_rt/data/test/tsan/sunrpc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,721 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppress_same_address.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,722 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppress_same_stacks.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,723 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,724 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,724 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,725 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,726 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,727 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,728 root INFO copying pythondata_software_compiler_rt/data/test/tsan/test.h -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,729 root INFO copying pythondata_software_compiler_rt/data/test/tsan/test_output.sh -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,730 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_detach.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,730 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_detach2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,731 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,732 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,733 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,734 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,735 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,736 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak3.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,736 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak4.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,737 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak5.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,738 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_name.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,739 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_name2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,740 root INFO copying pythondata_software_compiler_rt/data/test/tsan/tiny_race.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,741 root INFO copying pythondata_software_compiler_rt/data/test/tsan/tls_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,741 root INFO copying pythondata_software_compiler_rt/data/test/tsan/tls_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,742 root INFO copying pythondata_software_compiler_rt/data/test/tsan/tsan-vs-gvn.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,743 root INFO copying pythondata_software_compiler_rt/data/test/tsan/unaligned_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,744 root INFO copying pythondata_software_compiler_rt/data/test/tsan/unaligned_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,745 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vfork.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,746 root INFO copying pythondata_software_compiler_rt/data/test/tsan/virtual_inheritance_compile_bug.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,747 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_benign_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,747 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,748 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,749 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,750 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race4.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,751 root INFO copying pythondata_software_compiler_rt/data/test/tsan/write_in_reader_lock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:21,752 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:21,752 root INFO copying pythondata_software_compiler_rt/data/test/safestack/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:21,753 root INFO copying pythondata_software_compiler_rt/data/test/safestack/buffer-copy-vla.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:21,754 root INFO copying pythondata_software_compiler_rt/data/test/safestack/buffer-copy.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:21,755 root INFO copying pythondata_software_compiler_rt/data/test/safestack/init.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:21,755 root INFO copying pythondata_software_compiler_rt/data/test/safestack/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:21,756 root INFO copying pythondata_software_compiler_rt/data/test/safestack/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:21,757 root INFO copying pythondata_software_compiler_rt/data/test/safestack/lto.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:21,758 root INFO copying pythondata_software_compiler_rt/data/test/safestack/overflow.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:21,759 root INFO copying pythondata_software_compiler_rt/data/test/safestack/pthread-cleanup.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:21,760 root INFO copying pythondata_software_compiler_rt/data/test/safestack/pthread.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:21,761 root INFO copying pythondata_software_compiler_rt/data/test/safestack/utils.h -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:21,761 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,762 root INFO copying pythondata_software_compiler_rt/data/test/cfi/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,763 root INFO copying pythondata_software_compiler_rt/data/test/cfi/README.txt -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,764 root INFO copying pythondata_software_compiler_rt/data/test/cfi/anon-namespace.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,764 root INFO copying pythondata_software_compiler_rt/data/test/cfi/bad-cast.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,765 root INFO copying pythondata_software_compiler_rt/data/test/cfi/base-derived-destructor.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,766 root INFO copying pythondata_software_compiler_rt/data/test/cfi/create-derivers.test -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,767 root INFO copying pythondata_software_compiler_rt/data/test/cfi/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,768 root INFO copying pythondata_software_compiler_rt/data/test/cfi/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,769 root INFO copying pythondata_software_compiler_rt/data/test/cfi/multiple-inheritance.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,769 root INFO copying pythondata_software_compiler_rt/data/test/cfi/nvcall.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,770 root INFO copying pythondata_software_compiler_rt/data/test/cfi/overwrite.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,771 root INFO copying pythondata_software_compiler_rt/data/test/cfi/sibling.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,772 root INFO copying pythondata_software_compiler_rt/data/test/cfi/simple-fail.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,773 root INFO copying pythondata_software_compiler_rt/data/test/cfi/simple-pass.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,774 root INFO copying pythondata_software_compiler_rt/data/test/cfi/utils.h -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,775 root INFO copying pythondata_software_compiler_rt/data/test/cfi/vdtor.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:21,775 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan 2024-03-06 23:03:21,776 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/ubsan 2024-03-06 23:03:21,777 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/lit.common.cfg -> build/lib/pythondata_software_compiler_rt/data/test/ubsan 2024-03-06 23:03:21,778 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/ubsan 2024-03-06 23:03:21,778 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-03-06 23:03:21,779 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-03-06 23:03:21,780 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/lit.common.cfg -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-03-06 23:03:21,781 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-03-06 23:03:21,781 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/lsan 2024-03-06 23:03:21,782 root INFO copying pythondata_software_compiler_rt/data/test/lsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/lsan 2024-03-06 23:03:21,783 root INFO copying pythondata_software_compiler_rt/data/test/lsan/lit.common.cfg -> build/lib/pythondata_software_compiler_rt/data/test/lsan 2024-03-06 23:03:21,784 root INFO copying pythondata_software_compiler_rt/data/test/lsan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/lsan 2024-03-06 23:03:21,784 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,785 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/block-static.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,786 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/blockimport.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,787 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefaccess.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,787 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopy.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,788 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopycopy.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,789 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyinner.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,790 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyint.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,791 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopystack.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,792 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefsanity.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,793 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefstruct.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,793 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/c99.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,794 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/cast.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,795 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/constassign.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,796 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/copy-block-literal-rdar6439600.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,797 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/copyconstructor.C -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,798 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/copynull.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,798 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_async.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,799 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_call_Block_with_release.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,800 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/fail.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,801 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/flagsisa.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,802 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/globalexpression.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,803 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/goto.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,804 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/hasdescriptor.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,804 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/josh.C -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,805 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/k-and-r.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,806 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/large-struct.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,807 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/localisglobal.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,808 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/macro.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,809 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/makefile -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,809 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/modglobal.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,810 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/nestedimport.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,811 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/nullblockisa.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,812 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/objectRRGC.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,813 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/objectassign.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,814 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/orbars.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,814 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6396238.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,815 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6405500.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,816 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6414583.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,817 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-block.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,818 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-test.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,819 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/recursiveassign.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,819 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/reference.C -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,820 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/rettypepromotion.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,821 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/returnfunctionptr.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,822 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/shorthandexpression.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,823 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/sizeof.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,824 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/small-struct.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,825 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/structmember.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,825 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.h -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,826 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.m -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,827 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs-bad-assign.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,828 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,829 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/variadic.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,830 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/voidarg.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:21,831 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,831 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,832 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/basic.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,833 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/custom.cc -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,834 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/dump_labels.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,835 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/flags.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,835 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/fncall.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,836 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/label_count.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,837 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,838 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,839 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/propagate.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,840 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/vararg.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,841 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/write_callback.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:21,841 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,842 root INFO copying pythondata_software_compiler_rt/data/test/msan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,843 root INFO copying pythondata_software_compiler_rt/data/test/msan/allocator_mapping.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,844 root INFO copying pythondata_software_compiler_rt/data/test/msan/allocator_returns_null.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,844 root INFO copying pythondata_software_compiler_rt/data/test/msan/backtrace.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,845 root INFO copying pythondata_software_compiler_rt/data/test/msan/c-strdup.c -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,846 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,847 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin_empty_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,848 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin_limits.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,849 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin_memcpy.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,850 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin_with_signals.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,850 root INFO copying pythondata_software_compiler_rt/data/test/msan/check_mem_is_initialized.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,851 root INFO copying pythondata_software_compiler_rt/data/test/msan/coverage-levels.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,852 root INFO copying pythondata_software_compiler_rt/data/test/msan/cxa_atexit.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,853 root INFO copying pythondata_software_compiler_rt/data/test/msan/death-callback.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,854 root INFO copying pythondata_software_compiler_rt/data/test/msan/default_blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,855 root INFO copying pythondata_software_compiler_rt/data/test/msan/dlerror.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,856 root INFO copying pythondata_software_compiler_rt/data/test/msan/dso-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,856 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtls_test.c -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,857 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-base-access.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,858 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-bit-fields.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,859 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-derived-class.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,860 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-member.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,861 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance-nontrivial-class-members.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,861 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,862 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-trivial-class-members.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,863 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-trivial.cpp -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,864 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-vtable-multiple-inheritance.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,865 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-vtable.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,866 root INFO copying pythondata_software_compiler_rt/data/test/msan/errno.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,867 root INFO copying pythondata_software_compiler_rt/data/test/msan/fork.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,867 root INFO copying pythondata_software_compiler_rt/data/test/msan/ftime.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,868 root INFO copying pythondata_software_compiler_rt/data/test/msan/getaddrinfo-positive.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,869 root INFO copying pythondata_software_compiler_rt/data/test/msan/getaddrinfo.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,870 root INFO copying pythondata_software_compiler_rt/data/test/msan/getc_unlocked.c -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,871 root INFO copying pythondata_software_compiler_rt/data/test/msan/getline.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,872 root INFO copying pythondata_software_compiler_rt/data/test/msan/heap-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,872 root INFO copying pythondata_software_compiler_rt/data/test/msan/icmp_slt_allones.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,873 root INFO copying pythondata_software_compiler_rt/data/test/msan/iconv.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,874 root INFO copying pythondata_software_compiler_rt/data/test/msan/if_indextoname.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,875 root INFO copying pythondata_software_compiler_rt/data/test/msan/ifaddrs.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,876 root INFO copying pythondata_software_compiler_rt/data/test/msan/initgroups.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,877 root INFO copying pythondata_software_compiler_rt/data/test/msan/inline.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,877 root INFO copying pythondata_software_compiler_rt/data/test/msan/insertvalue_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,878 root INFO copying pythondata_software_compiler_rt/data/test/msan/ioctl.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,879 root INFO copying pythondata_software_compiler_rt/data/test/msan/ioctl_custom.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,880 root INFO copying pythondata_software_compiler_rt/data/test/msan/keep-going-dso.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,881 root INFO copying pythondata_software_compiler_rt/data/test/msan/keep-going.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,882 root INFO copying pythondata_software_compiler_rt/data/test/msan/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,882 root INFO copying pythondata_software_compiler_rt/data/test/msan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,883 root INFO copying pythondata_software_compiler_rt/data/test/msan/memcmp_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,884 root INFO copying pythondata_software_compiler_rt/data/test/msan/mktime.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,885 root INFO copying pythondata_software_compiler_rt/data/test/msan/mmap.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,886 root INFO copying pythondata_software_compiler_rt/data/test/msan/mmap_below_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,887 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_check_mem_is_initialized.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,888 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_copy_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,889 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_dump_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,889 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_print_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,890 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_print_shadow2.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,891 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_print_shadow3.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,892 root INFO copying pythondata_software_compiler_rt/data/test/msan/mul_by_const.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,893 root INFO copying pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,894 root INFO copying pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory_prop.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,895 root INFO copying pythondata_software_compiler_rt/data/test/msan/origin-store-long.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,895 root INFO copying pythondata_software_compiler_rt/data/test/msan/param_tls_limit.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,896 root INFO copying pythondata_software_compiler_rt/data/test/msan/poison_in_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,897 root INFO copying pythondata_software_compiler_rt/data/test/msan/print_stats.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,898 root INFO copying pythondata_software_compiler_rt/data/test/msan/pthread_getattr_np_deadlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,899 root INFO copying pythondata_software_compiler_rt/data/test/msan/pthread_setcancelstate.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,900 root INFO copying pythondata_software_compiler_rt/data/test/msan/rand_r.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,901 root INFO copying pythondata_software_compiler_rt/data/test/msan/readdir64.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,901 root INFO copying pythondata_software_compiler_rt/data/test/msan/realloc-large-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,902 root INFO copying pythondata_software_compiler_rt/data/test/msan/realloc-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,903 root INFO copying pythondata_software_compiler_rt/data/test/msan/report-demangling.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,904 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,905 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir_null.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,906 root INFO copying pythondata_software_compiler_rt/data/test/msan/select.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,907 root INFO copying pythondata_software_compiler_rt/data/test/msan/select_float_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,907 root INFO copying pythondata_software_compiler_rt/data/test/msan/select_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,908 root INFO copying pythondata_software_compiler_rt/data/test/msan/sem_getvalue.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,909 root INFO copying pythondata_software_compiler_rt/data/test/msan/setlocale.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,910 root INFO copying pythondata_software_compiler_rt/data/test/msan/signal_stress_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,911 root INFO copying pythondata_software_compiler_rt/data/test/msan/sigwait.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,912 root INFO copying pythondata_software_compiler_rt/data/test/msan/sigwaitinfo.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,913 root INFO copying pythondata_software_compiler_rt/data/test/msan/stack-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,913 root INFO copying pythondata_software_compiler_rt/data/test/msan/stack-origin2.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,914 root INFO copying pythondata_software_compiler_rt/data/test/msan/strerror_r-non-gnu.c -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,915 root INFO copying pythondata_software_compiler_rt/data/test/msan/strlen_of_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,916 root INFO copying pythondata_software_compiler_rt/data/test/msan/strxfrm.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,917 root INFO copying pythondata_software_compiler_rt/data/test/msan/sync_lock_set_and_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,918 root INFO copying pythondata_software_compiler_rt/data/test/msan/test.h -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,919 root INFO copying pythondata_software_compiler_rt/data/test/msan/textdomain.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,919 root INFO copying pythondata_software_compiler_rt/data/test/msan/times.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,920 root INFO copying pythondata_software_compiler_rt/data/test/msan/tls_reuse.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,921 root INFO copying pythondata_software_compiler_rt/data/test/msan/tsearch.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,922 root INFO copying pythondata_software_compiler_rt/data/test/msan/tzset.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,923 root INFO copying pythondata_software_compiler_rt/data/test/msan/unaligned_read_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,924 root INFO copying pythondata_software_compiler_rt/data/test/msan/unpoison_string.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,925 root INFO copying pythondata_software_compiler_rt/data/test/msan/use-after-dtor.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,925 root INFO copying pythondata_software_compiler_rt/data/test/msan/use-after-free.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,926 root INFO copying pythondata_software_compiler_rt/data/test/msan/vector_cvt.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,927 root INFO copying pythondata_software_compiler_rt/data/test/msan/vector_select.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:21,928 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:21,928 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/gcc-flag-compatibility.c -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:21,929 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func.c -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:21,930 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func2.c -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:21,931 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-main.c -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:21,932 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-a.cpp -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:21,933 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-b.cpp -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:21,934 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-header.h -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:21,934 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-main.cpp -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:21,935 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/Unit 2024-03-06 23:03:21,936 root INFO copying pythondata_software_compiler_rt/data/test/asan/Unit/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/asan/Unit 2024-03-06 23:03:21,936 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,937 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_big_alignment.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,938 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_detect_custom_size_.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,939 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_instruments_all_paddings.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,940 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_loop_unpoisoning.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,940 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_partial.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,941 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_right.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,942 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_safe_access.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,943 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_underflow_left.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,944 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_vla_interact.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,945 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/allocator_returns_null.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,945 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/asan_and_llvm_coverage_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,946 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/asan_options-help.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,947 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/atexit_stats.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,948 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/atoi_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,949 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/atol_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,950 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/atoll_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,951 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,951 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,952 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container_crash.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,953 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-and-lsan.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,954 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-caller-callee-total-count.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,955 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-disabled.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,956 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-levels.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,957 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-order-pcs.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,957 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-reset.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,958 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-tracing.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,959 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_locate.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,960 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_mapping.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,961 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_ppc64_mapping.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,962 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_report.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,963 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_stacks.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,963 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/deep_stack_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,964 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/deep_tail_call.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,965 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/default_blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,966 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/default_options.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,967 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/describe_address.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,968 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/double-free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,969 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/dump_instruction_bytes.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,969 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/force_inline_opt0.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,970 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/frexp_interceptor.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,971 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/global-demangle.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,972 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/global-location.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,973 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/global-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,974 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow-large.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,975 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,976 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/heavy_uar_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,976 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/huge_negative_hea_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,977 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/init-order-atexit.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,978 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,979 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-bug.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,980 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-constexpr.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,981 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-nobug.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,982 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/inline.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,982 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/interception_failure_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,983 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/interface_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,984 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/intra-object-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,985 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/invalid-free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,986 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/large_func_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,987 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/log-path_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,987 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/longjmp.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,988 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/lsan_annotations.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,989 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_context_size.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,990 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_fill.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,991 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/max_redzone.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,992 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_strict_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,993 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,993 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/memset_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,994 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/mmap_limit_mb.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,995 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/no_asan_gen_globals.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,996 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/null_deref.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,997 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/on_error_callback.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,998 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/partial_right.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,999 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/poison_partial.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:21,999 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/print_summary.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,000 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,001 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,002 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-3.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,003 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-4.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,004 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-5.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,005 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/sanity_check_pure_c.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,005 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/sleep_before_dying.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,006 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/speculative_load.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,007 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow-with-position.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,008 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,009 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/stack-frame-demangle.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,010 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/stack-oob-frames.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,011 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,011 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,012 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,013 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcat_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,014 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strchr_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,015 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcmp_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,016 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,017 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,017 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,018 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strdup_oob_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,019 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strip_path_prefix.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,020 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strncat_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,021 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strncpy-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,022 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,023 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,023 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,024 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,025 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,026 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strspn_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,027 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,028 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,029 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strstr_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,030 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strtol_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,030 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strtoll_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,031 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-exec-relative-location.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,032 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-function.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,033 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-interceptor.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,034 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-library.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,035 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/throw_call_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,036 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/throw_catch.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,036 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/throw_invoke_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,037 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/time_interceptor.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,038 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/uar_and_exceptions.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,039 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/unaligned_loads_and_stores.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,040 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-delete.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,041 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free-right.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,042 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,042 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-poison.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,043 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-dtor-order.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,044 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-inlined.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,045 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-nobug.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,046 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-temp.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,047 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,048 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/verbose-log-path_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,048 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/vla_chrome_testcase.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,049 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/vla_condition_overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,050 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/vla_loop_overfow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,051 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/zero_page_pc.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:22,052 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:22,052 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/blacklist-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:22,053 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/echo-env.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:22,054 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/init-order-atexit-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:22,055 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:22,056 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra2.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:22,057 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist.txt -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:22,058 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:22,058 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra2.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:22,059 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-constexpr-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:22,060 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-nobug-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:22,061 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:22,062 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,062 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/allow_user_segv.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,063 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-bad-path.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,064 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-sanity-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,065 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asprintf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,066 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/assign_large_valloc_to_global.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,067 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/closed-fds.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,068 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-caller-callee.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,068 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-activation.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,069 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-large.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,070 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,071 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork-direct.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,072 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,073 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-maybe-open-file.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,074 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-module-unloaded.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,074 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-sandboxing.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,075 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,076 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/current_allocated_bytes.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,077 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_call_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,078 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_thread_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,079 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/dlclose-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,080 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/free_hook_realloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,081 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/freopen.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,081 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/gc-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,082 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,083 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/init-order-pthread-create.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,084 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/interception-in-shared-lib-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,085 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/ioctl.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,086 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/large_allocator_unpoisons_on_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,087 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,087 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/log_path_fork_test.cc.disabled -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,088 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,089 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_uaf_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,090 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_with_new_from_class.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,091 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/readv.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,092 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/shared-lib-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,093 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,094 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-use-after-return.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,094 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/start-deactivated.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,095 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/strerror_r_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,096 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/tsd_dtor_leak.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,097 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,098 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait3.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,099 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait4.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,100 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/waitid.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:22,100 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-03-06 23:03:22,101 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Android/coverage-android.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-03-06 23:03:22,102 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Android/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-03-06 23:03:22,103 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,103 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/abort_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,104 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/address-range-limit.mm -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,105 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/asan_gen_prefixes.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,106 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer-dyld-root-path.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,107 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,108 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/crashlog-stacktraces.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,108 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/cstring_literals_regtest.mm -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,109 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dladdr-demangling.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,110 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_reexec.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,111 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_remove.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,112 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/empty-section.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,113 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/interface_symbols_darwin.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,114 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/linked-only.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,114 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,115 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_set_zone_name-mprotect.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,116 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_zone-protected.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,117 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/mixing-global-constructors.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,118 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/objc-odr.mm -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,119 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/reexec-insert-libraries-env.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,119 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/sandbox-symbolizer.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,120 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-darwin.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,121 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-sandbox.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,122 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/unset-insert-libraries-on-exec.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:22,123 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,123 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/aligned_mallocs.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,124 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/allocators_sanity.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,125 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/beginthreadex.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,126 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bind_io_completion_callback.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,127 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,128 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,128 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,129 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,130 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,131 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/coverage-basic.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,132 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/crt_initializers.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,133 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/default_options.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,134 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/demangled_names.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,134 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_aligned_mallocs.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,135 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_allocators_sanity.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,136 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_and_lib.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,137 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_cerr.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,138 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_control_c.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,139 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_host.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,140 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memchr.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,140 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,141 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy_indirect.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,142 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memset.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,143 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_strlen.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,144 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_large_function.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,145 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,146 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,146 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_noreturn.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,147 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_null_deref.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,148 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,149 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_with_dtor_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,150 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_poison_unpoison.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,151 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_report_globals_symbolization_at_startup.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,152 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_seh.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,153 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_stack_use_after_return.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,153 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_thread_stack_array_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,154 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,155 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_operator_delete.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,156 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/free_hook_realloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,157 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/fuse-lld.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,158 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,159 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,159 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/hello_world.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,160 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_memcpy.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,161 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strdup.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,162 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strlen.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,163 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/iostream_sbo.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,164 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,164 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/longjmp.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,165 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,166 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,167 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,168 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,169 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref_multiple_dlls.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,170 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/on_error_callback.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,171 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/oom.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,171 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,172 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,173 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,174 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_with_dtor_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,175 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_delete_wrong_argument.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,176 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,177 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,177 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,178 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,179 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item_report.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,180 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,181 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,182 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,183 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_after_syminitialize.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,183 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_reload_dll.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,184 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_vs_freelibrary.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,185 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/seh.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,186 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/shadow_mapping_failure.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,187 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,188 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,189 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_sanity.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,189 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_use_after_return.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,190 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/symbols_path.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,191 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_simple.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,192 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,193 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,194 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_reuse.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,195 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stress.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,195 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_suspended.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,196 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/throw_catch.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,197 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/unsymbolized.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,198 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_realloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,199 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_return_linkage.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,200 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/windows_h.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,201 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_heap.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,201 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:22,202 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,203 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/abort_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,204 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/activation-options.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,205 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan-asm-stacktrace-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,205 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_default_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,206 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_dlopen_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,207 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_prelink_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,208 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-1.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,209 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-2.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,210 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-1.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,211 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-2.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,211 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clang_gcc_abi.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,212 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clone_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,213 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/coverage-missing.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,214 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/function-sections-are-bad.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,215 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/globals-gc-sections.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,216 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init-order-dlopen.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,217 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init_fini_sections.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,217 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/initialization-bug-any-order.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,218 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_malloc_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,219 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_readdir_r_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,220 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,221 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interface_symbols_linux.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,222 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/kernel-area.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,223 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,223 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak_check_segv.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,224 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,225 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc-in-qsort.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,226 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc_delete_mismatch.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,227 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/nohugepage_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,228 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/odr-violation.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,229 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/overflow-in-qsort.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,229 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/preinit_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,230 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/pthread_create_version.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,231 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/ptrace.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,232 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/quarantine_size_mb.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,233 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/read_binary_name_regtest.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,234 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/rlimit_mmap_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,235 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/shmctl.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,236 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/signal_during_stop_the_world.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,236 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/sized_delete_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,237 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-overflow-sigbus.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,238 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-trace-dlclose.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,239 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/static_tls.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,240 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stress_dtls.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,241 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/swapcontext_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,242 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/syscalls.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,243 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/uar_signals.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,243 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/unpoison_tls.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:22,244 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-03-06 23:03:22,245 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/aa -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-03-06 23:03:22,246 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ab -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-03-06 23:03:22,246 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ba -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-03-06 23:03:22,247 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/tsan/Unit 2024-03-06 23:03:22,248 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Unit/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Unit 2024-03-06 23:03:22,248 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-03-06 23:03:22,249 root INFO copying pythondata_software_compiler_rt/data/test/tsan/libcxx/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-03-06 23:03:22,250 root INFO copying pythondata_software_compiler_rt/data/test/tsan/libcxx/std_shared_ptr.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-03-06 23:03:22,251 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-03-06 23:03:22,251 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-03-06 23:03:22,252 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-03-06 23:03:22,253 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-03-06 23:03:22,254 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/user_fopen.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-03-06 23:03:22,255 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/user_malloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-03-06 23:03:22,255 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/cfi/icall 2024-03-06 23:03:22,256 root INFO copying pythondata_software_compiler_rt/data/test/cfi/icall/bad-signature.c -> build/lib/pythondata_software_compiler_rt/data/test/cfi/icall 2024-03-06 23:03:22,257 root INFO copying pythondata_software_compiler_rt/data/test/cfi/icall/external-call.c -> build/lib/pythondata_software_compiler_rt/data/test/cfi/icall 2024-03-06 23:03:22,258 root INFO copying pythondata_software_compiler_rt/data/test/cfi/icall/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/cfi/icall 2024-03-06 23:03:22,259 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases 2024-03-06 23:03:22,259 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-03-06 23:03:22,259 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/misaligned.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-03-06 23:03:22,260 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/null.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-03-06 23:03:22,261 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base-construction.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-03-06 23:03:22,262 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-03-06 23:03:22,263 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-03-06 23:03:22,264 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,264 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/add-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,265 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,266 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-zero.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,267 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/incdec-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,268 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/mul-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,269 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/negate-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,269 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/no-recover.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,270 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/shift.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,271 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/sub-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,272 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/summary.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,273 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uadd-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,274 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uincdec-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,275 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/umul-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,276 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/usub-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:22,276 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float 2024-03-06 23:03:22,277 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float/cast-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float 2024-03-06 23:03:22,278 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:22,278 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bool.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:22,279 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bounds.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:22,280 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/coverage-levels.cc -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:22,281 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/deduplication.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:22,282 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/enum.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:22,282 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/log-path_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:22,283 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/missing_return.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:22,284 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull-arg.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:22,285 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:22,286 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/unreachable.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:22,287 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/vla.c -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:22,287 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-03-06 23:03:22,288 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/function.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-03-06 23:03:22,289 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-03-06 23:03:22,290 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-03-06 23:03:22,290 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-03-06 23:03:22,291 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/ubsan_options.cc -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-03-06 23:03:22,292 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit 2024-03-06 23:03:22,292 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/Unit/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit 2024-03-06 23:03:22,293 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,293 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/corelimit.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,294 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/malloc_hook.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,295 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-help.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,296 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-include.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,297 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-invalid.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,298 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/print-stack-trace.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,299 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/pthread_mutexattr_get.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,299 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcasestr.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,300 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcspn.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,301 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strpbrk.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,302 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strspn.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,303 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strstr.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:22,304 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-03-06 23:03:22,304 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/decorate_proc_maps.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-03-06 23:03:22,305 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-03-06 23:03:22,306 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-03-06 23:03:22,306 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/abort_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-03-06 23:03:22,307 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-03-06 23:03:22,308 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,308 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/abort_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,309 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/aligned_alloc.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,310 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/assert.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,311 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/clock_gettime.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,312 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/fpe.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,313 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpass.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,314 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpwnam_r_invalid_user.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,314 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/hard_rss_limit_mb_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,315 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,316 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/mlock_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,317 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/open_memstream.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,318 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/ptrace.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,319 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sanitizer_set_death_callback_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,320 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sched_getparam.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,320 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sem_init_glibc.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,321 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/signal_segv_handler.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,322 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/soft_rss_limit_mb_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,323 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/timerfd.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:22,324 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,324 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/cleanup_in_tsd_destructor.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,325 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,326 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler_in_tsd_destructor.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,327 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/do_leak_check_override.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,328 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/fork.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,329 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/fork_threaded.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,329 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/high_allocator_contention.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,330 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,331 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object_errors.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,332 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/large_allocation_leak.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,333 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_at_exit.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,334 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_before_thread_started.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,335 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/link_turned_off.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,335 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/new_array_with_dtor_0.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,336 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/pointer_to_self.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,337 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/print_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,338 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/recoverable_leak_check.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,339 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/register_root_region.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,340 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/sanity_check_pure_c.c -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,341 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/stale_stack_leak.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,341 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_default.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,342 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_file.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,343 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/swapcontext.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,344 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_after_return.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,345 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_initialized.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,346 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_uninitialized.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,347 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_poisoned_asan.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,347 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_registers.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,348 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,349 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks_threaded.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,350 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_dynamic.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,351 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_dynamic.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,352 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_static.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,352 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_static.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,353 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_unaligned.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:22,354 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/dfsan/Inputs 2024-03-06 23:03:22,355 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/Inputs/flags_abilist.txt -> build/lib/pythondata_software_compiler_rt/data/test/dfsan/Inputs 2024-03-06 23:03:22,355 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins 2024-03-06 23:03:22,356 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,356 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/absvdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,357 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/absvsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,358 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/absvti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,359 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/adddf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,360 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addsf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,360 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addtf3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,361 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addvdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,362 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addvsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,363 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addvti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,364 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ashldi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,365 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ashlti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,366 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ashrdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,366 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ashrti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,367 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/bswapdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,368 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/bswapsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,369 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/clear_cache_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,370 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/clzdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,371 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/clzsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,372 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/clzti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,373 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/cmpdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,374 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/cmpti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,374 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/comparedf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,375 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/comparesf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,376 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ctzdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,377 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ctzsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,378 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ctzti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,379 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divdc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,380 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divdf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,381 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,381 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divmodsi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,382 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divsc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,383 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divsf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,384 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,385 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divtc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,386 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divtf3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,387 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,388 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divxc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,388 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/enable_execute_stack_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,389 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/endianness.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,390 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/eqdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,391 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/eqsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,392 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/eqtf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,393 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/extebdsfdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,394 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/extenddftf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,394 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/extendhfsf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,395 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/extendsftf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,396 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ffsdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,397 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ffsti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,398 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,399 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfsivfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,400 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,401 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,401 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfsivfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,402 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,403 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,404 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,405 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,406 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,407 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,407 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsivfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,408 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,409 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,410 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,411 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsivfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,412 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,413 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,414 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,414 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,415 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,416 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,417 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,418 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,419 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,420 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatdidf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,420 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatdisf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,421 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatditf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,422 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatdixf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,423 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatsidfvfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,424 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatsisfvfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,425 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatsitf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,426 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floattidf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,427 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floattisf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,427 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floattixf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,428 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatundidf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,429 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatundisf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,430 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatunditf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,431 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatundixf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,432 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatunsitf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,433 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssidfvfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,434 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssisfvfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,434 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntidf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,435 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntisf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,436 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntixf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,437 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fp_test.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,438 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,439 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test_helper.cxx -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,440 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gedf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,441 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gesf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,441 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/getf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,442 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gtdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,443 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gtsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,444 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gttf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,445 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ledf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,446 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/lesf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,447 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/letf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,447 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/lshrdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,448 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/lshrti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,449 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ltdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,450 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ltsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,451 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/lttf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,452 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/moddi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,453 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/modsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,453 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/modti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,454 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/muldc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,455 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/muldf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,456 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/muldi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,457 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulodi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,458 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulosi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,459 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/muloti4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,460 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulsc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,461 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulsf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,461 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/multc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,462 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/multf3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,463 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/multi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,464 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulvdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,465 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulvsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,466 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulvti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,467 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulxc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,468 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/nedf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,468 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,469 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,470 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,471 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,472 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negvdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,473 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negvsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,474 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negvti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,474 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/nesf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,475 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/netf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,476 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/paritydi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,477 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/paritysi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,478 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/parityti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,479 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/popcountdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,480 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/popcountsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,481 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/popcountti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,481 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/powidf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,482 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/powisf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,483 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/powitf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,484 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/powixf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,485 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subdf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,486 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subsf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,487 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subtf3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,488 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subvdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,488 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subvsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,489 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subvti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,490 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/test -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,491 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/trampoline_setup_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,492 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfhf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,493 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,494 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,494 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/truncsfhf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,495 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfdf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,496 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfsf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,497 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,498 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,499 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,500 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivmoddi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,505 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodsi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,505 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodti4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,540 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,541 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,542 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/umoddi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,543 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/umodsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,544 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/umodti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,545 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/unorddf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,546 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/unordsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,547 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/unordtf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:22,548 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,548 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/ashldi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,549 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/ashrdi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,550 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/divdi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,551 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatdidf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,552 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatdisf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,553 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatdixf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,554 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatundidf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,554 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatundisf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,555 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatundixf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,556 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/lshrdi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,557 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/moddi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,558 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/modsi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,559 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/muldi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,560 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/negdi2.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,561 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/time -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,562 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/timing.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,563 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/udivdi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,564 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/umoddi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:22,565 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:22,565 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmpeq_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:22,566 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmple_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:22,567 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmpeq_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:22,568 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmple_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:22,569 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_drsub_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:22,570 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_frsub_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:22,571 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.S -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:22,572 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:22,572 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:22,573 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/DD.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:22,574 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/fixtfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:22,575 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:22,576 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:22,582 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:22,583 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:22,588 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qadd_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:22,589 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qdiv_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:22,590 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qmul_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:22,591 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qsub_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:22,593 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/test -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:22,594 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/Unit 2024-03-06 23:03:22,594 root INFO copying pythondata_software_compiler_rt/data/test/msan/Unit/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/msan/Unit 2024-03-06 23:03:22,595 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-03-06 23:03:22,595 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aaa -> build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-03-06 23:03:22,596 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aab -> build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-03-06 23:03:22,597 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir_test_root/bbb -> build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-03-06 23:03:22,598 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,598 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/fopencookie.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,599 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/forkpty.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,600 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/getresid.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,601 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,602 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_altdirfunc.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,603 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_nomatch.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,603 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/ioctl_sound.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,604 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,605 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/mallinfo.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,606 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/mincore.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,607 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/obstack.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,608 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/process_vm_readv.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,609 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,609 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_bytes.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,610 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_string.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,611 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/syscalls.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,612 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/tcgetattr.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,613 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/xattr.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:22,614 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root 2024-03-06 23:03:22,614 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root/a -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root 2024-03-06 23:03:22,615 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-03-06 23:03:22,615 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/aa -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-03-06 23:03:22,616 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ab -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-03-06 23:03:22,617 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ba -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-03-06 23:03:22,706 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:03:22,706 root INFO running install 2024-03-06 23:03:22,784 root INFO running install_lib 2024-03-06 23:03:22,794 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:03:22,794 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:03:22,795 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt 2024-03-06 23:03:22,795 root INFO copying build/lib/pythondata_software_compiler_rt/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt 2024-03-06 23:03:22,796 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-03-06 23:03:22,797 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:22,797 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/config.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:22,798 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/lib_util.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:22,799 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:22,799 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_linux_test_input.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:22,800 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/darwin_bni.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:22,801 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:22,802 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_linux.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:22,803 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded_test_input.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:22,803 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_darwin.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:22,804 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_darwin_test_input.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:22,805 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/multi_arch.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-03-06 23:03:22,806 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/util.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:22,806 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/filter-inputs -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:22,807 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/lib_platforms.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:22,808 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/lib_info.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:22,809 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/AppleBI.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:22,809 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/subdir.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:22,810 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/test 2024-03-06 23:03:22,811 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/test/test-util.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make/test 2024-03-06 23:03:22,812 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/options.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/make 2024-03-06 23:03:22,812 root INFO copying build/lib/pythondata_software_compiler_rt/data/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-03-06 23:03:22,813 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include 2024-03-06 23:03:22,814 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include 2024-03-06 23:03:22,815 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:22,815 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/coverage_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:22,816 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/msan_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:22,817 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/linux_syscall_hooks.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:22,818 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/dfsan_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:22,819 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/tsan_interface_atomic.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:22,819 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/allocator_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:22,820 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/common_interface_defs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:22,821 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/lsan_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:22,822 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/asan_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-03-06 23:03:22,823 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake 2024-03-06 23:03:22,823 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:22,824 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/AddCompilerRT.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:22,825 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTUtils.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:22,825 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTLink.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:22,826 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTCompile.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:22,827 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/SanitizerUtils.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:22,828 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTDarwinUtils.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-03-06 23:03:22,828 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/config-ix.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/cmake 2024-03-06 23:03:22,829 root INFO copying build/lib/pythondata_software_compiler_rt/data/CODE_OWNERS.TXT -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-03-06 23:03:22,830 root INFO copying build/lib/pythondata_software_compiler_rt/data/CREDITS.TXT -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-03-06 23:03:22,831 root INFO copying build/lib/pythondata_software_compiler_rt/data/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-03-06 23:03:22,832 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/www 2024-03-06 23:03:22,832 root INFO copying build/lib/pythondata_software_compiler_rt/data/www/content.css -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/www 2024-03-06 23:03:22,833 root INFO copying build/lib/pythondata_software_compiler_rt/data/www/index.html -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/www 2024-03-06 23:03:22,834 root INFO copying build/lib/pythondata_software_compiler_rt/data/www/menu.css -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/www 2024-03-06 23:03:22,834 root INFO copying build/lib/pythondata_software_compiler_rt/data/www/menu.html.incl -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/www 2024-03-06 23:03:22,835 root INFO copying build/lib/pythondata_software_compiler_rt/data/.arcconfig -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-03-06 23:03:22,836 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib 2024-03-06 23:03:22,837 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,837 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,838 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingFile.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,839 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,840 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,840 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingInternal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,841 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,842 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingRuntime.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,843 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformLinux.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,843 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingBuffer.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,844 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,845 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformOther.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,845 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/GCDAProfiling.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,846 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformDarwin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,847 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-03-06 23:03:22,848 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,849 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_lock.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,849 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,850 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_activation.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,851 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_blacklist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,851 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,852 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,853 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_globals.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,854 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,855 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_win_dynamic_runtime_thunk.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,855 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_interface_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,856 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,857 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_thread.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,858 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_stats.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,859 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_win_dll_thunk.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,859 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_debugging.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,860 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_malloc_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,861 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_allocator.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,862 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_flags.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,863 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_rtl.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,863 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_new_delete.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,864 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,865 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_stack.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,866 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_malloc_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,867 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,867 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_exceptions_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,868 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_str_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,869 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,869 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_racy_double_free_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,870 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_main.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,871 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.ignore -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,872 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_benchmarks_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,872 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_interface_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,873 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_mem_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,874 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_utils.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,875 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_noinst_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,876 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,876 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_config.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,877 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_asm_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,878 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_oob_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,879 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test_helpers.mm -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,879 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,880 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_globals_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,881 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,882 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_fake_stack_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-03-06 23:03:22,883 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,883 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,884 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_win.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,885 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,886 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_flags.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,886 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_preinit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,887 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_posix.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,888 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_report.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,889 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,889 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,890 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_stats.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,891 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_mapping.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,892 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,893 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,893 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,894 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_init_version.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,895 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_allocator.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,896 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,896 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_activation.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,897 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,898 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/README.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,899 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_activation_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,900 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_report.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,900 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_malloc_win.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,901 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan.syms.extra -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-03-06 23:03:22,902 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-03-06 23:03:22,903 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-03-06 23:03:22,903 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts/asan_symbolize.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-03-06 23:03:22,904 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts/asan_device_setup -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-03-06 23:03:22,905 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib 2024-03-06 23:03:22,906 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib 2024-03-06 23:03:22,906 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:22,907 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:22,908 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/check_cmake.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:22,908 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/analyze_libtsan.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:22,909 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests 2024-03-06 23:03:22,910 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests 2024-03-06 23:03:22,911 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:22,911 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:22,912 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:22,913 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_string.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:22,914 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:22,914 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_bench.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:22,915 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mutex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:22,916 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:22,917 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:22,917 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mop.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:22,918 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_posix.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-03-06 23:03:22,919 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,919 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_stack_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,920 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutex_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,921 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,922 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_shadow_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,922 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mman_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,923 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_flags_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,924 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_unit_test_main.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,925 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_sync_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,926 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_dense_alloc_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,926 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_clock_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,927 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutexset_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,928 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_vector_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-03-06 23:03:22,929 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-03-06 23:03:22,929 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-03-06 23:03:22,930 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd/dd_interceptors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-03-06 23:03:22,931 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-03-06 23:03:22,932 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-03-06 23:03:22,932 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-03-06 23:03:22,933 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/go/build.bat -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-03-06 23:03:22,934 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/go/tsan_go.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-03-06 23:03:22,934 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/go/test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-03-06 23:03:22,935 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/go/buildgo.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-03-06 23:03:22,936 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/Makefile.old -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:22,937 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,938 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,938 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,939 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,940 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_report.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,941 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_defs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,941 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_mutex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,942 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,943 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,944 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,944 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,945 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,946 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,947 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,948 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_md5.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,948 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,949 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_posix.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,950 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,951 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_windows.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,952 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,952 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_vector.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,953 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,954 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,955 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_new_delete.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,955 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,956 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,957 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,958 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,958 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/Makefile.old -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,959 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,960 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,961 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,962 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,962 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,963 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,964 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_malloc_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,965 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,965 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_trace.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,966 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,967 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,968 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,968 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_amd64.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,969 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,970 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_atomic.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,971 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,972 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,972 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,973 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,974 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_update_shadow_word_inl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,975 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,975 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,976 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,977 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,978 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,979 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_inl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,979 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_dense_alloc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,980 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan.syms.extra -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,981 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,982 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-03-06 23:03:22,982 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/check_memcpy.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:22,983 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-03-06 23:03:22,984 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_local.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-03-06 23:03:22,985 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks/start_many_threads.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-03-06 23:03:22,985 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks/vts_many_threads_bench.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-03-06 23:03:22,986 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_shared.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-03-06 23:03:22,987 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/check_analyze.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-03-06 23:03:22,988 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/safestack 2024-03-06 23:03:22,988 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/safestack/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/safestack 2024-03-06 23:03:22,989 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/safestack/safestack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/safestack 2024-03-06 23:03:22,990 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/cfi 2024-03-06 23:03:22,990 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/cfi/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/cfi 2024-03-06 23:03:22,991 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/cfi/cfi_blacklist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/cfi 2024-03-06 23:03:22,992 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:22,992 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:22,993 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_platform.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:22,994 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:22,995 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:22,996 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:22,996 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:22,997 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init_standalone.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:22,998 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:22,999 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,000 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan.syms.extra -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,000 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,001 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,002 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,003 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,003 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_checks.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,004 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,005 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_win.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,006 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,006 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,007 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,008 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_itanium.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,009 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,010 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-03-06 23:03:23,011 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,011 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,012 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_interceptors.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,013 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,014 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,014 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_interface_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,015 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,016 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,017 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,017 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,018 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,019 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,020 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_list.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,021 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,021 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_msvc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,022 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,023 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_win.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,024 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_other.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,025 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_quarantine.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,025 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,026 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,027 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,028 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,028 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,029 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_freebsd.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,030 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_unwind_linux_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,031 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,031 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,032 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,033 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld_linux_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,034 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,035 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,035 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,036 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepotbase.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,037 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_mapping_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,038 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,038 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/standalone_malloc_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,039 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_posix_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,040 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_utils.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,041 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_printer_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,041 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,042 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_procmaps_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,043 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,044 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_suppressions_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,045 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_symbolizer_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,045 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_testlib.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,046 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_list_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,047 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_pthread_wrappers.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,048 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_thread_registry_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,048 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_flags_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,049 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_main.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,050 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_atomic_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,051 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,051 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_config.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,052 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_mutex_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,053 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_printf_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,054 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stackdepot_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,054 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bvgraph_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,055 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_format_interceptor_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,056 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,057 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_testlib.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,058 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_ioctl_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,058 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_linux_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,059 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,060 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_common_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,061 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test_main.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,062 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_libc_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,062 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bitvector_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,063 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_deadlock_detector_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-03-06 23:03:23,064 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,065 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,065 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,066 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,067 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_internal_defs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,068 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,069 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_nolibc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,069 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_posix_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,070 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,071 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_report_decorator.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,072 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mutex.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,072 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,073 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,074 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_malloc_mac.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,075 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,075 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,076 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,077 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,078 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,079 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,080 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,080 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,081 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,082 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bvgraph.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,083 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_x86.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,084 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,084 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,085 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,086 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bitvector.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,087 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,087 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_common.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,088 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,089 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,090 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,091 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_interface.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,091 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,092 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,093 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,094 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,095 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,095 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_generic.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,096 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,097 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_addrhashmap.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,098 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_aarch64.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,098 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_lfstack.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,099 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_syscalls.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,100 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_format.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,101 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,102 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_x86_64.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,102 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,103 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,104 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,105 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,106 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,106 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_placement_new.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,107 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,108 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,109 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,109 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,110 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_win.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,111 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,112 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,113 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_freebsd.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,113 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,114 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:23,115 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/cpplint.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:23,116 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint_test.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:23,117 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/gen_dynamic_list.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:23,117 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:23,118 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/check_lint.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:23,119 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/sancov.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-03-06 23:03:23,120 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_libcdep.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,121 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_printf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-03-06 23:03:23,122 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,122 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,123 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,124 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,124 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_common.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,125 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_preinit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,126 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,127 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,127 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,128 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_common.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,129 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_interceptors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,130 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,131 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,131 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,132 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_common_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-03-06 23:03:23,133 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-03-06 23:03:23,133 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime/runtime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-03-06 23:03:23,134 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block_private.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-03-06 23:03:23,135 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-03-06 23:03:23,136 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime/data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-03-06 23:03:23,137 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:23,137 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan_custom.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:23,138 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan_interceptors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:23,139 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:23,140 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:23,140 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:23,141 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan.syms.extra -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:23,142 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/done_abilist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:23,143 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:23,143 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/libc_ubuntu1404_abilist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-03-06 23:03:23,144 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-03-06 23:03:23,145 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-03-06 23:03:23,146 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts/check_custom_wrappers.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-03-06 23:03:23,147 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,148 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixdfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,148 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,149 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatuntixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,150 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subvdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,151 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_mul_impl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,152 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subsf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,152 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,153 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_fixuint_impl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,154 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixtfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,155 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ffsdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,155 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subtf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,156 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/popcountsi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,157 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/powixf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,158 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/assembly.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,158 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negdf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,159 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/trunctfsf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,160 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_endianness.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,161 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,161 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_lib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,162 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,163 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,164 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subvti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,165 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/popcountti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,165 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divxc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,166 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatuntisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,167 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatundixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,168 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ashrti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,168 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulsc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,169 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulvti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,170 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatsidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,171 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivmoddi4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,171 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/powidf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,172 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/armv6m 2024-03-06 23:03:23,173 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/armv6m/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/armv6m 2024-03-06 23:03:23,174 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixtfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,174 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,175 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsxfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,176 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,177 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/cmpdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,177 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/clzdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,178 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/paritysi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,179 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,180 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,180 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7s.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,181 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7s.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,182 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,183 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4-x86_64.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,183 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/README.TXT -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,184 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,185 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,186 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-x86_64.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,186 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,187 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios7-arm64.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,188 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,189 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-i386.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,190 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,190 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-x86_64.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,191 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-i386.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-03-06 23:03:23,192 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divdc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,193 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_fixint_impl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,193 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addvti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,194 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulosi4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,195 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivmodti4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,196 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixdfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,196 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunssfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,197 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_util.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,198 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/lshrti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,199 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addvdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,199 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/multi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,200 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulvsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,201 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear_explicit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,202 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negvti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,202 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divdf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,203 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/enable_execute_stack.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,204 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunstfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,205 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negvdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,206 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/gcc_personality_v0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,206 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunstfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,207 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixtfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,208 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subdf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,209 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floattidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,209 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ucmpti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,210 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixsfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,211 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/cmpti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,212 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/truncdfhf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,213 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatdixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,213 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addtf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,214 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/emutls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,215 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsxfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,216 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/parityti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,216 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatundisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,217 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/umoddi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,218 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulodi4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,219 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ashlti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,219 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/lshrdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,220 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/muldi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,221 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulsf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,222 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/trampoline_setup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,222 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/powitf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,223 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,224 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ctzti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,225 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divmodsi4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,225 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsxfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,226 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/popcountdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,227 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/clear_cache.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,228 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatditf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,228 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divtf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,229 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatsitf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,230 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,231 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ffsti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,232 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/extendsfdf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,232 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/trunctfdf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,233 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/extendsftf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,234 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:23,235 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:23,235 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/common.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:23,236 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2-64.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:23,237 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:23,238 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/i386.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:23,238 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/arm.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-03-06 23:03:23,239 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixxfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,240 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/adddf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,241 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/comparetf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,241 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivmodsi4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,242 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/muldf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,243 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/multc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,244 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatunsisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,244 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulxc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,245 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floattixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,246 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulvdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,247 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/umodsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,247 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/absvdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,248 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/moddi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,249 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_signal_fence.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,250 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatunsidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,251 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,251 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/truncdfsf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,252 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssidfvfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,253 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/bswapdi2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,253 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,254 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_drsub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,255 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/subdf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,256 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/ledf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,257 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,257 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,258 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/adddf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,259 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/fixdfsivfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,260 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/muldf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,261 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_dcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,261 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/nesf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,262 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/comparesf2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,263 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/divsi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,264 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/fixunssfsivfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,264 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/switchu8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,265 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_idivmod.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,266 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,267 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssisfvfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,267 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/udivsi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,268 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/umodsi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,269 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/save_vfp_d8_d15_regs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,270 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/gtsf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,271 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync-ops.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,271 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uidivmod.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,272 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_synchronize.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,273 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/divsf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,274 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,274 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/floatsidfvfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,275 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,276 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/udivmodsi4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,277 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/softfloat-alias.list -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,278 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,278 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/unordsf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,279 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,280 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/bswapsi2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,281 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,281 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/gesf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,282 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,283 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/negsf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,284 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_fcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,284 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_div0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,285 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,286 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/ltsf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,287 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/switch8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,287 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/eqdf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,288 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/negdf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,289 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,290 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/floatsisfvfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,291 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,291 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/extendsfdf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,292 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/mulsf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,293 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,294 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/gtdf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,295 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/clzsi2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,295 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,296 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/modsi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,297 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,298 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/fixsfsivfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,298 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/subsf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,299 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/lesf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,300 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,301 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/addsf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,301 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmpeq_check_nan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,302 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,303 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/divdf3vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,304 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,304 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,305 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,306 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,307 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,307 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/nedf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,308 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uldivmod.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,309 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/restore_vfp_d8_d15_regs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,310 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memmove.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,311 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/fixunsdfsivfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,311 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmpeq_check_nan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,312 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/clzdi2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,313 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,314 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_8.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,314 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,315 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/ltdf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,316 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/divmodsi4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,317 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/eqsf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,318 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/unorddf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,318 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/switch32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,319 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_ldivmod.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,320 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/gedf2vfp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,321 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_frsub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,321 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/switch16.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-03-06 23:03:23,322 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floattisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,323 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_trunc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,324 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/modti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,324 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsdfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,325 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/eprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,326 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_extend.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,327 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/clzsi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,328 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/clzti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,328 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,329 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunssfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,330 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/powisf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,331 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subvsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,331 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_util.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,332 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/truncdfsf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,333 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_extend_impl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,334 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,335 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatuntidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,335 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divsf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,336 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,337 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ctzdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,338 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:23,338 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:23,339 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundixf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:23,340 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundisf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:23,341 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:23,341 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:23,342 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:23,343 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:23,344 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:23,344 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundidf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-03-06 23:03:23,345 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,346 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,347 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/ashldi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,347 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatundixf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,348 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatundisf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,349 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/muldi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,350 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,350 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/ashrdi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,351 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatdixf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,352 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/moddi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,353 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,353 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/udivdi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,354 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatdisf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,355 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/lshrdi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,356 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/divdi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,356 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatdidf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,357 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/umoddi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,358 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatundidf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-03-06 23:03:23,359 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,359 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/restFP.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,360 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,361 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/fixtfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,362 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/DD.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,362 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qmul.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,363 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/divtc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,364 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/floatditf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,365 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/multc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,365 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qsub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,366 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qadd.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,367 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qdiv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,368 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/floatunditf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,368 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/fixunstfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,369 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/saveFP.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-03-06 23:03:23,370 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/muloti4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,371 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,371 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divmoddi4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,372 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixsfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,373 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixxfti.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,374 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/absvsi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,375 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/comparesf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,375 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_lib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,376 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/multf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,377 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/comparedf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,378 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ashldi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,378 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,379 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ctzsi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,380 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/apple_versioning.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,381 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatdidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,381 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatunditf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,382 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set_explicit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,383 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/truncsfhf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,384 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunssfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,384 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/umodti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,385 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsdfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,386 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm64 2024-03-06 23:03:23,387 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm64/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm64 2024-03-06 23:03:23,387 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negvsi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,388 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatunsitf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,389 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_thread_fence.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,390 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatdisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,390 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixsfsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,391 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negsf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,392 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/absvti2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,393 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_trunc_impl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,393 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addvsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,394 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/extendhfsf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,395 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addsf3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,396 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/paritydi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/modsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ashrdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,398 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatundidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,399 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivti3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,400 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/README.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,401 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunstfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,401 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_add_impl.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,402 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divsc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,403 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixdfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,404 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/muldc3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,404 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatsisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,405 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/extenddftf2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,406 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsdfdi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,407 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ucmpdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-03-06 23:03:23,408 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,408 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,409 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,410 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_interface_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,411 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_thread.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,411 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,412 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,413 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_allocator.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,414 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-03-06 23:03:23,414 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_config.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-03-06 23:03:23,415 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-03-06 23:03:23,416 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_main.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-03-06 23:03:23,416 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/msan_loadable.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-03-06 23:03:23,417 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/msan_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-03-06 23:03:23,418 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,419 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,420 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_allocator.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,421 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_flags.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,421 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_new_delete.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,422 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,423 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_report.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,424 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_blacklist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,424 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan.syms.extra -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,425 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,426 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,427 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_flags.inc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,427 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_interceptors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,428 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_origin.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-03-06 23:03:23,429 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:23,430 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/Makefile.mk -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:23,431 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_mac.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:23,431 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:23,432 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:23,433 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_linux.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:23,434 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_mac.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:23,434 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_type_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:23,435 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_win.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:23,436 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_linux.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:23,437 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_win.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-03-06 23:03:23,437 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/unittests 2024-03-06 23:03:23,438 root INFO copying build/lib/pythondata_software_compiler_rt/data/unittests/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/unittests 2024-03-06 23:03:23,439 root INFO copying build/lib/pythondata_software_compiler_rt/data/unittests/lit.common.unit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/unittests 2024-03-06 23:03:23,439 root INFO copying build/lib/pythondata_software_compiler_rt/data/unittests/lit.common.unit.configured.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/unittests 2024-03-06 23:03:23,440 root INFO copying build/lib/pythondata_software_compiler_rt/data/LICENSE.TXT -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-03-06 23:03:23,441 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test 2024-03-06 23:03:23,442 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,442 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-two-shared.test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,443 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,444 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-then-reset-default.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,444 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-reset-counters.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,445 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename-then-reset-default.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,446 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-write-file.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,447 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,447 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-basic.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,448 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/gcc-flag-compatibility.test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,449 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-dlopen.test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,450 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-atexit-explicitly.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,451 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-only.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,451 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-one-shared.test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,452 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,453 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,454 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-with-env.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,454 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-without-libc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,455 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:23,456 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-header.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:23,456 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-b.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:23,457 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:23,458 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:23,459 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/gcc-flag-compatibility.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:23,459 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-a.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:23,460 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-main.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:23,461 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-main.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-03-06 23:03:23,462 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-03-06 23:03:23,463 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan 2024-03-06 23:03:23,463 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan 2024-03-06 23:03:23,464 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-03-06 23:03:23,465 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands/android_common.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-03-06 23:03:23,465 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands/android_run.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-03-06 23:03:23,466 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands/android_compile.py -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-03-06 23:03:23,467 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/Unit 2024-03-06 23:03:23,467 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/Unit/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/Unit 2024-03-06 23:03:23,468 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan 2024-03-06 23:03:23,469 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan 2024-03-06 23:03:23,470 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,471 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_vla_interact.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,472 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/vla_loop_overfow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,472 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/time_interceptor.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,473 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-nobug.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,474 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/global-location.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,475 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/vla_chrome_testcase.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,475 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-library.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,476 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/stack-frame-demangle.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,477 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_safe_access.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,478 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/asan_options-help.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,478 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-nobug.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,479 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_detect_custom_size_.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,480 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-order-pcs.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,481 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,481 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/asan_and_llvm_coverage_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,482 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:23,483 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-nobug-extra.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:23,483 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/echo-env.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:23,484 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/blacklist-extra.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:23,485 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:23,486 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/init-order-atexit-extra.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:23,487 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:23,487 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:23,488 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-constexpr-extra.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:23,489 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:23,490 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:23,490 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-03-06 23:03:23,491 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/blacklist.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,492 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,493 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-reset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,493 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-levels.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,494 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-interceptor.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,495 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-5.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,496 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/memset_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,496 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strip_path_prefix.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,497 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-dtor-order.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,498 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/global-demangle.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,499 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_locate.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,499 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-bug.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,500 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strncat_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,501 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/frexp_interceptor.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,502 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-constexpr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,503 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_loop_unpoisoning.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,503 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,504 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container_crash.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,505 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-disabled.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,506 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,506 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_mapping.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,507 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/no_asan_gen_globals.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,508 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strtoll_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,509 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strspn_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,509 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/poison_partial.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,510 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow-large.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,511 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-blacklist.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,512 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,512 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/deep_stack_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,513 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_report.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,514 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/longjmp.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,515 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/describe_address.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,515 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/stack-oob-frames.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,516 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/on_error_callback.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,517 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-temp.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,518 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,518 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_underflow_left.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,519 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,520 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/allocator_returns_null.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,521 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/default_blacklist.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,521 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_big_alignment.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,522 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/intra-object-overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,523 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_fill.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,524 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_ppc64_mapping.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,525 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/atoi_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,525 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,526 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,527 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/inline.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,528 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,528 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/uar_and_exceptions.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,529 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,530 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/init-order-atexit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,531 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,532 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/double-free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,532 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/interface_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,533 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/dump_instruction_bytes.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,534 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-function.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,535 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strncpy-overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,535 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/atoll_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,536 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_right.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,537 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/partial_right.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,538 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/sanity_check_pure_c.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,538 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,539 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/lsan_annotations.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,540 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,541 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/verbose-log-path_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,541 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/throw_call_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,542 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,543 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_call_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,544 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-module-unloaded.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,544 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/free_hook_realloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,545 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_thread_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,546 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/start-deactivated.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,547 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork-direct.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,547 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/tsd_dtor_leak.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,548 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-maybe-open-file.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,549 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/assign_large_valloc_to_global.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,550 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/gc-test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,551 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-03-06 23:03:23,551 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ba -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-03-06 23:03:23,552 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ab -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-03-06 23:03:23,553 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/aa -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-03-06 23:03:23,553 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,554 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-use-after-return.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,555 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_uaf_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,556 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,556 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/current_allocated_bytes.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,557 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-sandboxing.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,558 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-large.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,559 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/waitid.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,559 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-activation.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,560 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,561 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asprintf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,562 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/log_path_fork_test.cc.disabled -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,562 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-sanity-test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,563 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/closed-fds.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,564 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/large_allocator_unpoisons_on_free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,565 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-bad-path.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,566 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/interception-in-shared-lib-test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,566 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_with_new_from_class.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,567 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,568 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/ioctl.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,569 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/strerror_r_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,570 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,570 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,571 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/dlclose-test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,572 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait4.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,573 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/shared-lib-test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,573 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,574 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/init-order-pthread-create.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,575 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/readv.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,576 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/freopen.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,576 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,577 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,578 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-caller-callee.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,579 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/allow_user_segv.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-03-06 23:03:23,579 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,580 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/invalid-free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,581 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/huge_negative_hea_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,582 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/zero_page_pc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,583 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/null_deref.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,583 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_strict_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,584 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow-with-position.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,585 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,586 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-03-06 23:03:23,586 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android/coverage-android.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-03-06 23:03:23,587 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-03-06 23:03:23,588 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-and-lsan.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,588 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strdup_oob_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,589 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-delete.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,590 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,591 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/log-path_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,592 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-caller-callee-total-count.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,592 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/throw_invoke_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,593 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcmp_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,594 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/mmap_limit_mb.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,595 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_context_size.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,595 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_stacks.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,596 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/heavy_uar_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,597 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strtol_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,598 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/throw_catch.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,599 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,599 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/sleep_before_dying.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,600 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_partial.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,601 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcat_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,602 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/large_func_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,602 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,603 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/objc-odr.mm -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,604 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-darwin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,605 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer-dyld-root-path.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,605 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/mixing-global-constructors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,606 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/cstring_literals_regtest.mm -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,607 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/linked-only.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,608 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/crashlog-stacktraces.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,608 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_set_zone_name-mprotect.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,609 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/asan_gen_prefixes.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,610 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/reexec-insert-libraries-env.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,611 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dladdr-demangling.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,612 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/abort_on_error.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,612 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/sandbox-symbolizer.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,613 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/address-range-limit.mm -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,614 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-sandbox.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,615 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,615 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_reexec.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,616 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/unset-insert-libraries-on-exec.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,617 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_zone-protected.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,618 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_remove.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,618 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/empty-section.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,619 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/interface_symbols_darwin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,620 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-03-06 23:03:23,621 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,621 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/interception_failure_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,622 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/speculative_load.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,623 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_instruments_all_paddings.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,624 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-tracing.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,625 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/atexit_stats.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,625 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free-right.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,626 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,627 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,628 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,628 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_report_globals_symbolization_at_startup.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,629 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,630 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/aligned_mallocs.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,631 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/symbols_path.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,632 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/seh.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,632 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref_multiple_dlls.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,633 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_seh.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,634 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/unsymbolized.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,635 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_poison_unpoison.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,635 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,636 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/allocators_sanity.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,637 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_null_deref.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,638 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/hello_world.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,638 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_return_linkage.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,639 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/free_hook_realloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,640 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_noreturn.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,641 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,641 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_large_function.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,642 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_aligned_mallocs.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,643 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,644 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/fuse-lld.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,644 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,645 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_stack_use_after_return.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,646 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_sanity.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,647 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,647 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_reload_dll.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,648 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_with_dtor_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,649 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_heap.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,650 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,651 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item_report.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,651 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/demangled_names.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,652 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_after_syminitialize.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,653 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_operator_delete.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,654 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,654 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/longjmp.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,655 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/on_error_callback.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,656 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,657 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/beginthreadex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,657 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/coverage-basic.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,658 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,659 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_host.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,660 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stress.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,661 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,661 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strlen.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,662 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/iostream_sbo.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,663 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_with_dtor_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,664 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,664 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,665 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strdup.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,666 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,667 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,667 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,668 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_allocators_sanity.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,669 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,670 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_thread_stack_array_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,671 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,671 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,672 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,673 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,674 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_delete_wrong_argument.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,674 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_strlen.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,675 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy_indirect.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,676 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_reuse.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,677 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_suspended.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,677 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,678 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/shadow_mapping_failure.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,679 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_cerr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,680 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_control_c.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,680 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,681 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_realloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,682 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,683 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_vs_freelibrary.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,683 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/throw_catch.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,684 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,685 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_use_after_return.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,686 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memchr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,686 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/windows_h.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,687 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_and_lib.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,688 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_left_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,689 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/oom.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,690 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bind_io_completion_callback.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,690 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,691 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_simple.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,692 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_uaf.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,693 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/crt_initializers.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,694 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/default_options.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,694 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_right_oob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,695 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,696 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_memcpy.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,697 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-03-06 23:03:23,697 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,698 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/max_redzone.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,699 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/print_summary.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,700 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/atol_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,700 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/force_inline_opt0.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,701 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,702 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-exec-relative-location.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,703 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/default_options.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,704 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/vla_condition_overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,704 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,705 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/deep_tail_call.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,706 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-inlined.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,707 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/global-overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,707 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/unaligned_loads_and_stores.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,708 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,709 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_default_suppressions.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,710 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/overflow-in-qsort.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,710 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak_check_segv.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,711 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/activation-options.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,712 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/swapcontext_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,713 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/static_tls.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,714 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/initialization-bug-any-order.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,714 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/coverage-missing.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,715 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,716 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/globals-gc-sections.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,717 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/pthread_create_version.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,717 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init_fini_sections.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,718 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/rlimit_mmap_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,719 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clone_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,720 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/ptrace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,720 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,721 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_prelink_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,722 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-trace-dlclose.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,723 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/shmctl.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,723 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/function-sections-are-bad.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,724 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan-asm-stacktrace-test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,725 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clang_gcc_abi.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,726 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_dlopen_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,727 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/abort_on_error.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,727 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/preinit_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,728 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/quarantine_size_mb.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,729 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,730 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/sized_delete_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,730 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stress_dtls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,731 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,732 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_malloc_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,733 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init-order-dlopen.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,733 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,734 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/unpoison_tls.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,735 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/read_binary_name_regtest.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,736 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-overflow-sigbus.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,737 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interface_symbols_linux.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,737 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/kernel-area.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,738 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/signal_during_stop_the_world.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,739 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,740 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc-in-qsort.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,740 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/odr-violation.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,741 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/nohugepage_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,742 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc_delete_mismatch.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,743 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/uar_signals.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,743 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/syscalls.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,744 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,745 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_readdir_r_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-03-06 23:03:23,746 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-poison.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,747 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strchr_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,747 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strstr_strict.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,748 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-03-06 23:03:23,749 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test 2024-03-06 23:03:23,750 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,751 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_pipe_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,751 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/test_output.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,752 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mmap_stress.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,753 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_close_norace2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,754 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/halt_on_error.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,754 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond_cancel.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,755 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_mutex.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,756 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/aligned_vs_unaligned_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,757 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset6.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,757 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atexit2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,758 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race4.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,759 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,760 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/deadlock_detector_stress_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,760 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,761 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mmap_large.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,762 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/global_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,763 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset8.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,763 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset7.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,764 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,765 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,766 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/setuid.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,766 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_local_mutex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,767 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,768 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,769 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_sync.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,769 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,770 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_unlock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,771 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/tiny_race.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,772 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/blacklist.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,773 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,773 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_malloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,774 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/stack_race2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,775 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Unit 2024-03-06 23:03:23,775 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Unit/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Unit 2024-03-06 23:03:23,776 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,777 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,778 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_ten_mutexes.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,778 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_symbolization.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,779 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_benign_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,780 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,781 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/unaligned_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,781 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/global_race2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,782 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/tls_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,783 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/deflake.bash -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,784 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset4.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,784 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak5.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,785 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/sigsuspend.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,786 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_puts.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,787 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,787 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_write.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,788 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,789 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,790 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/benign_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,790 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_recursive.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,791 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,792 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/simple_race.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,793 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/unaligned_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,793 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_acquire_only.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,794 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,795 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_detach2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,796 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_socket_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,796 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/getline_nohang.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,797 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_write.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,798 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mop1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,799 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,800 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_detach.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,800 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,801 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_single_writer.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,802 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,803 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib_lib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,803 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vfork.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,804 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,805 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_mutex2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,806 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,806 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_double_lock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,807 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fork_atexit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,808 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/write_in_reader_lock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,809 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_barrier.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,809 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,810 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/printf-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,811 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_free2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,812 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/memcmp_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,812 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/longjmp.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,813 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/dl_iterate_phdr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,814 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/sunrpc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,815 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_errno.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,815 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_read.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,816 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_release_only.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,817 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_shadow_flush.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,818 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fork_deadlock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,818 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_close_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,819 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/sleep_sync2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,820 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,821 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,822 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/allocator_returns_null.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,822 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/must_deadlock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,823 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_longjmp.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,824 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/tsan-vs-gvn.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,825 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/longjmp4.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,825 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_stdout_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,826 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_mutex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,827 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,828 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atexit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,828 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_lock_rec_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,829 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,830 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,831 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,831 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/tls_race2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,832 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond_destruction.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,833 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_volatile.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,834 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/restore_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,834 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_malloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,835 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/malloc_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,836 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/heap_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,837 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init4.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,837 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,838 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,839 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_bad_unlock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,840 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/dlclose.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,840 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,841 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/barrier.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,842 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,843 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/simple_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,843 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,844 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_race_pc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,845 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/memcpy_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,846 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/annotate_happens_before.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,846 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppress_same_stacks.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,847 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,848 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/stack_sync_reuse.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,849 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_socketpair_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,849 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_finalizer.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,850 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/stack_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,851 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_cond.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,852 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/pthread_atfork_deadlock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,852 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,853 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mop_with_offset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,854 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_pipe_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,855 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,855 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/virtual_inheritance_compile_bug.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,856 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,857 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,858 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_sync.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,859 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppress_same_address.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,859 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_socket_connect_norace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,860 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/blacklist2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,861 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,862 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/free_race.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,862 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/simple_stack.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,863 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_top_suppression.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,864 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_destroy_locked.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,865 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_location.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,865 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/real_deadlock_detector_stress_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,866 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_stress.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,867 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,868 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,868 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/interface_atomic_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,869 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_race_move.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,870 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,871 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_with_finished_thread.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,872 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_top_suppression1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,872 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_reset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,873 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,874 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,875 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,875 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_acquire_release.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,876 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_lock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,877 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond_version.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,878 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_name2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,878 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_move_overlap_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,879 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/large_malloc_meta.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,880 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/malloc_overflow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,881 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_dup_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,881 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_lock_rec.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,882 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/longjmp3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,883 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-03-06 23:03:23,884 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx/std_shared_ptr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-03-06 23:03:23,884 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-03-06 23:03:23,885 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init6.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,886 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_cycle2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,887 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_alloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,887 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init5.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,888 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,889 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/free_race.c.supp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,890 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_move_overlap.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,890 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/sleep_sync.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,891 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,892 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_heap_init.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,893 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,894 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_rwlock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,894 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/load_shared_lib.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,895 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/global_race3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,896 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/setuid2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,897 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mop_with_offset2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,897 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_rwmutex.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,898 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,899 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_lock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,900 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_speculative_load.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,900 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_heap.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,901 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/default_options.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,902 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_free3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,903 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/free_race2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,903 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/simple_stack2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,904 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/map32bit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,905 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/deep_stack1.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,906 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_lock_move.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,907 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_barrier2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,907 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-03-06 23:03:23,908 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-03-06 23:03:23,909 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/user_fopen.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-03-06 23:03:23,909 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/user_malloc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-03-06 23:03:23,910 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-03-06 23:03:23,911 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-03-06 23:03:23,912 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,912 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_name.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,913 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/longjmp2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,914 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,915 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset5.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,915 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-03-06 23:03:23,916 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:23,917 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:23,918 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/pthread-cleanup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:23,918 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/lto.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:23,919 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:23,920 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/pthread.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:23,921 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/overflow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:23,921 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/utils.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:23,922 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/buffer-copy-vla.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:23,923 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:23,924 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/init.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:23,924 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/buffer-copy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-03-06 23:03:23,925 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lit.common.configured.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test 2024-03-06 23:03:23,926 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,927 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,927 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/simple-pass.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,928 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/sibling.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,929 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/create-derivers.test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,930 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/simple-fail.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,930 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/vdtor.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,931 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/base-derived-destructor.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,932 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,933 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/multiple-inheritance.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,934 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi/icall 2024-03-06 23:03:23,934 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/icall/external-call.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi/icall 2024-03-06 23:03:23,935 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/icall/bad-signature.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi/icall 2024-03-06 23:03:23,936 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/icall/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi/icall 2024-03-06 23:03:23,936 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/nvcall.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,937 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/utils.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,938 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,939 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/overwrite.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,939 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/anon-namespace.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,940 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/bad-cast.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,941 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/README.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-03-06 23:03:23,942 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan 2024-03-06 23:03:23,942 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan 2024-03-06 23:03:23,943 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan 2024-03-06 23:03:23,944 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases 2024-03-06 23:03:23,945 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-03-06 23:03:23,945 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base-construction.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-03-06 23:03:23,946 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-03-06 23:03:23,947 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/function.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-03-06 23:03:23,947 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-03-06 23:03:23,948 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/misaligned.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-03-06 23:03:23,949 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-03-06 23:03:23,950 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-03-06 23:03:23,950 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/null.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-03-06 23:03:23,951 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,952 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/add-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,953 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/no-recover.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,953 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/sub-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,954 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/umul-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,955 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/usub-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,956 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/mul-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,956 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,957 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uincdec-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,958 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/negate-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,959 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/incdec-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,959 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/summary.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,960 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/shift.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,961 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-zero.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,962 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uadd-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-03-06 23:03:23,962 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float 2024-03-06 23:03:23,963 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float/cast-overflow.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float 2024-03-06 23:03:23,964 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:23,964 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/missing_return.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:23,965 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bool.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:23,966 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/coverage-levels.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:23,967 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/vla.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:23,967 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull-arg.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:23,968 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/deduplication.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:23,969 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bounds.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:23,970 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/log-path_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:23,971 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:23,971 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/unreachable.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:23,972 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-03-06 23:03:23,973 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/ubsan_options.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-03-06 23:03:23,973 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-03-06 23:03:23,974 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/enum.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-03-06 23:03:23,975 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/lit.common.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/ubsan 2024-03-06 23:03:23,976 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-03-06 23:03:23,976 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-03-06 23:03:23,977 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit 2024-03-06 23:03:23,978 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit 2024-03-06 23:03:23,978 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-03-06 23:03:23,979 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,980 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strstr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,981 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/corelimit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,981 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcasestr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,982 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/malloc_hook.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,983 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-invalid.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,984 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,984 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-include.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,985 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-help.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,986 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-03-06 23:03:23,986 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/decorate_proc_maps.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-03-06 23:03:23,987 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-03-06 23:03:23,988 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strpbrk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,989 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/print-stack-trace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,989 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/pthread_mutexattr_get.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,990 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-03-06 23:03:23,991 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-03-06 23:03:23,992 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/abort_on_error.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-03-06 23:03:23,992 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-03-06 23:03:23,993 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:23,994 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/aligned_alloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:23,994 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/open_memstream.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:23,995 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/signal_segv_handler.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:23,996 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpass.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:23,997 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/clock_gettime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:23,998 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sched_getparam.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:23,998 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/ptrace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:23,999 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpwnam_r_invalid_user.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:24,000 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/abort_on_error.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:24,001 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/timerfd.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:24,001 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sem_init_glibc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:24,002 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/hard_rss_limit_mb_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:24,003 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/assert.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:24,004 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/soft_rss_limit_mb_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:24,004 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/mlock_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:24,005 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sanitizer_set_death_callback_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:24,006 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/fpe.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:24,007 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-03-06 23:03:24,008 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/lit.common.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-03-06 23:03:24,008 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan 2024-03-06 23:03:24,009 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan 2024-03-06 23:03:24,010 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan 2024-03-06 23:03:24,011 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,011 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_static.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,012 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_initialized.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,013 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_at_exit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,013 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/high_allocator_contention.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,014 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,015 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks_threaded.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,016 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/fork.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,016 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/do_leak_check_override.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,017 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/new_array_with_dtor_0.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,018 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/link_turned_off.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,019 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_unaligned.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,020 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_dynamic.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,020 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/print_suppressions.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,021 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler_in_tsd_destructor.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,022 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_dynamic.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,023 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/sanity_check_pure_c.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,023 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/swapcontext.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,024 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_after_return.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,025 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/register_root_region.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,026 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_default.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,026 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,027 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_registers.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,028 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/large_allocation_leak.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,029 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_poisoned_asan.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,029 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_static.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,030 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/pointer_to_self.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,031 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/cleanup_in_tsd_destructor.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,032 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_before_thread_started.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,033 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/stale_stack_leak.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,033 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/fork_threaded.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,034 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object_errors.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,035 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,036 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_uninitialized.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,036 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/recoverable_leak_check.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,037 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_file.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-03-06 23:03:24,038 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/lit.common.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/lsan 2024-03-06 23:03:24,039 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,039 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_async.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,040 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/goto.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,041 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,042 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/nestedimport.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,042 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6405500.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,043 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/copynull.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,044 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/orbars.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,044 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopystack.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,045 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/c99.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,046 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/constassign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,047 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/objectRRGC.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,047 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/cast.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,048 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/k-and-r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,049 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs-bad-assign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,050 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/copyconstructor.C -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,051 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/voidarg.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,051 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/small-struct.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,052 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/fail.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,053 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6414583.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,054 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefstruct.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,054 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopycopy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,055 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/variadic.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,056 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/objectassign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,057 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,057 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/copy-block-literal-rdar6439600.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,058 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/shorthandexpression.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,059 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/localisglobal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,060 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/block-static.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,060 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/returnfunctionptr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,061 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,062 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/makefile -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,063 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-block.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,063 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyinner.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,064 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/josh.C -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,065 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/reference.C -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,066 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/recursiveassign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,066 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6396238.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,067 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/hasdescriptor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,068 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/nullblockisa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,069 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/structmember.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,069 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.m -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,070 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/flagsisa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,071 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/globalexpression.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,072 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,073 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/large-struct.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,073 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_call_Block_with_release.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,074 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,075 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/modglobal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,076 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefsanity.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,076 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/rettypepromotion.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,077 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/sizeof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,078 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/blockimport.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,079 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/macro.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,079 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefaccess.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-03-06 23:03:24,080 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,081 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,081 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/label_count.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,082 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/flags.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,083 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,084 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/dump_labels.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,084 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/fncall.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,085 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/vararg.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,086 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/basic.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,087 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/custom.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,088 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,088 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/propagate.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,089 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/write_callback.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-03-06 23:03:24,090 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan/Inputs 2024-03-06 23:03:24,091 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/Inputs/flags_abilist.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/dfsan/Inputs 2024-03-06 23:03:24,091 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins 2024-03-06 23:03:24,092 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,093 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,094 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/netf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,094 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/nesf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,095 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,096 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatsitf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,097 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssisfvfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,097 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/clzdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,098 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test_helper.cxx -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,099 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntidf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,100 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfsf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,101 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,101 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulsc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,102 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/absvdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,103 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulvsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,104 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/multc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,104 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subtf3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,105 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/unordsf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,106 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,107 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divtf3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,107 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gttf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,108 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divsc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,109 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/umoddi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,110 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/lttf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,110 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negvti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,111 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatundixf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,112 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulvdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,113 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatdixf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,113 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/comparedf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,114 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divxc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,115 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/parityti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,116 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodsi4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,117 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,117 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/eqtf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,118 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ashrdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,119 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulsf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,120 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addvdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,120 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/muloti4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,121 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/endianness.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,122 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatunditf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,123 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/clzsi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,124 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/eqsf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,124 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,125 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/popcountsi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,126 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatunsitf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,127 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfsi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,127 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntisf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,128 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/lesf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,129 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floattisf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,130 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ltsf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,130 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/clear_cache_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,131 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivmoddi4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,136 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,137 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floattixf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,137 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/extendhfsf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,138 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,139 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/getf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,140 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/muldc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,141 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfsi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,142 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/absvti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,142 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,143 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subvdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,144 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulvti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,145 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/bswapsi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,146 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/popcountdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,146 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ashldi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,147 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfsi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,148 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsivfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,149 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divdf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,150 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,150 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/moddi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,151 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssidfvfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,152 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divtc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,153 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,154 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divmodsi4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,154 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/powixf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,155 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfsivfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,156 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodti4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,190 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,191 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/muldi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,192 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addsf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,193 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/unorddf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,193 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/letf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,194 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negvdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,195 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divdc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,196 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulosi4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,197 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gedf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,197 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,198 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ctzdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,199 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/cmpti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,200 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addvti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,201 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntixf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,202 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,203 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/multf3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,204 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatundisf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,204 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divsf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,205 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,206 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/popcountti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,207 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/bswapdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,208 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/absvsi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,208 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ltdf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,209 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfsivfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,210 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subdf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,211 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/paritysi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,212 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatditf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,213 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subsf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,213 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ledf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,214 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ctzti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,215 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulodi4_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,216 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:24,217 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmple_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:24,217 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmple_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:24,218 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_frsub_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:24,219 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_drsub_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:24,220 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmpeq_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:24,221 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmpeq_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:24,221 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:24,222 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-03-06 23:03:24,223 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/modti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,224 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ashrti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,225 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negvsi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,226 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatdidf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,226 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/powitf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,227 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,228 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subvsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,229 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,230 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,231 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gtdf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,231 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/unordtf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,232 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,233 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/umodsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,234 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floattidf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,235 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/lshrdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,235 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivdi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,236 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gtsf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,237 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/clzti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,238 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/modsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,238 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,239 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,240 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ffsdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,241 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gesf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,242 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/comparesf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,242 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,243 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,244 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negsf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,245 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:24,245 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qadd_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:24,247 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qmul_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:24,247 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/DD.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:24,248 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:24,249 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:24,252 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/fixtfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:24,253 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:24,254 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:24,261 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qsub_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:24,262 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:24,263 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qdiv_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-03-06 23:03:24,264 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfdf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,265 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/adddf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,266 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfhf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,267 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,267 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatsidfvfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,268 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ashlti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,269 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/extendsftf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,270 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfdi_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,271 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/powisf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,272 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addvsi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,272 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/trampoline_setup_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,273 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfti_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,274 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/extebdsfdf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,275 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/eqdf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,276 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/extenddftf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,276 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/umodti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,277 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negdf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,278 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,279 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/test -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,279 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/nedf2vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,280 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fp_test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,281 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/muldf3vfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,282 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/paritydi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,282 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,283 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,284 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsivfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,285 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/multi3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,286 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatdisf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,286 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/enable_execute_stack_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,287 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subvti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,288 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatsisfvfp_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,289 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/lshrti3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,289 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/cmpdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,290 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulxc3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,291 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatundidf_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,292 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ctzsi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,293 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addtf3_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,293 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ffsti2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,294 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpdi2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,295 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/powidf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,296 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/truncsfhf2_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-03-06 23:03:24,297 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,297 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatundixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,298 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatdixf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,299 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatundisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,299 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/umoddi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,300 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/lshrdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,301 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/muldi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,302 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/divdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,303 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/moddi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,303 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/timing.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,304 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/time -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,305 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/negdi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,306 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/udivdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,306 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/ashldi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,307 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatdidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,308 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatdisf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,309 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/modsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,309 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/ashrdi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,310 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatundidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-03-06 23:03:24,311 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,312 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/strxfrm.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,313 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/mul_by_const.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,313 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/tsearch.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,314 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-trivial-class-members.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,315 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/unpoison_string.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,316 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/getline.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,316 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,317 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/c-strdup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,318 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/unaligned_read_origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,318 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,319 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/use-after-free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,320 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Unit 2024-03-06 23:03:24,321 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Unit/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Unit 2024-03-06 23:03:24,321 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/coverage-levels.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,322 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_check_mem_is_initialized.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,323 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/tzset.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,324 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_copy_shadow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,324 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dlerror.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,325 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/stack-origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,326 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_print_shadow3.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,327 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/death-callback.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,327 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/keep-going-dso.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,328 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/mktime.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,329 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/fork.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,330 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/rand_r.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,330 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-vtable.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,331 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/report-demangling.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,332 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/vector_cvt.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,333 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/lit.site.cfg.in -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,334 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin_memcpy.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,334 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/getaddrinfo-positive.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,335 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_dump_shadow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,336 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-member.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,337 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,337 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-vtable-multiple-inheritance.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,338 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_print_shadow2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,339 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/select.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,339 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/strlen_of_shadow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,340 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/if_indextoname.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,341 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin_with_signals.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,342 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/backtrace.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,342 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/allocator_returns_null.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,343 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/default_blacklist.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,344 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin_empty_stack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,345 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/sigwaitinfo.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,345 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance-nontrivial-class-members.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,346 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/keep-going.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,347 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/inline.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,348 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtls_test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,349 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/check_mem_is_initialized.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,349 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,350 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/signal_stress_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,351 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/sigwait.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,352 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,352 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/times.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,353 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/readdir64.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,354 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/allocator_mapping.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,355 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/select_origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,355 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/use-after-dtor.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,356 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_print_shadow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,357 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/ftime.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,358 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/realloc-large-origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,358 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/ioctl_custom.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,359 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/icmp_slt_allones.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,360 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/setlocale.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,361 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-trivial.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,361 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_null.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,362 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/pthread_setcancelstate.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,363 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-bit-fields.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,364 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/print_stats.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,364 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/getaddrinfo.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,365 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/cxa_atexit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,366 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/memcmp_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,367 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/vector_select.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,367 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/tls_reuse.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,368 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/origin-store-long.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,369 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/poison_in_free.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,370 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/lit.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,370 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin_limits.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,371 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/param_tls_limit.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,372 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory_prop.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,373 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/ioctl.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,373 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/select_float_origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,374 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/heap-origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,375 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/sem_getvalue.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,376 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/realloc-origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,377 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/mmap.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,377 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/iconv.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,378 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/mmap_below_shadow.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,379 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/textdomain.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,380 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-derived-class.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,380 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/strerror_r-non-gnu.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,381 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-base-access.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,382 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-03-06 23:03:24,383 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aab -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-03-06 23:03:24,383 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aaa -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-03-06 23:03:24,384 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root/bbb -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-03-06 23:03:24,385 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/sync_lock_set_and_test.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,385 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/getc_unlocked.c -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,386 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/stack-origin2.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,387 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/errno.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,388 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/insertvalue_origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,389 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,389 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/initgroups.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,390 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/pthread_getattr_np_deadlock.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,391 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/ifaddrs.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,392 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,392 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_string.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,393 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/mincore.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,394 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root 2024-03-06 23:03:24,394 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root/a -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root 2024-03-06 23:03:24,395 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_altdirfunc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,396 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-03-06 23:03:24,396 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ba -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-03-06 23:03:24,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ab -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-03-06 23:03:24,398 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/aa -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-03-06 23:03:24,399 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,399 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/process_vm_readv.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,400 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/forkpty.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,401 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/ioctl_sound.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,402 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/fopencookie.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,402 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/tcgetattr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,403 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/mallinfo.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,404 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,405 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/xattr.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,405 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_bytes.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,406 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/getresid.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,407 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/obstack.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,408 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/syscalls.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,408 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/lit.local.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,409 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_nomatch.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-03-06 23:03:24,410 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dso-origin.cc -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-03-06 23:03:24,411 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lit.common.cfg -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data/test 2024-03-06 23:03:24,412 root INFO copying build/lib/pythondata_software_compiler_rt/data/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-03-06 23:03:24,412 root INFO copying build/lib/pythondata_software_compiler_rt/data/README.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt/data 2024-03-06 23:03:24,413 root INFO running install_egg_info 2024-03-06 23:03:24,431 root INFO Copying pythondata_software_compiler_rt.egg-info to build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt-0.0.post6189-py3.11.egg-info 2024-03-06 23:03:24,436 root INFO running install_scripts 2024-03-06 23:03:24,444 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_compiler_rt-0.0.post6189.dist-info/WHEEL 2024-03-06 23:03:24,447 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-rnwjj1rt/pythondata_software_compiler_rt-0.0.post6189-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:03:24,450 wheel INFO adding 'pythondata_software_compiler_rt/__init__.py' 2024-03-06 23:03:24,454 wheel INFO adding 'pythondata_software_compiler_rt/data/.arcconfig' 2024-03-06 23:03:24,455 wheel INFO adding 'pythondata_software_compiler_rt/data/.gitignore' 2024-03-06 23:03:24,456 wheel INFO adding 'pythondata_software_compiler_rt/data/CMakeLists.txt' 2024-03-06 23:03:24,457 wheel INFO adding 'pythondata_software_compiler_rt/data/CODE_OWNERS.TXT' 2024-03-06 23:03:24,458 wheel INFO adding 'pythondata_software_compiler_rt/data/CREDITS.TXT' 2024-03-06 23:03:24,460 wheel INFO adding 'pythondata_software_compiler_rt/data/LICENSE.TXT' 2024-03-06 23:03:24,461 wheel INFO adding 'pythondata_software_compiler_rt/data/Makefile' 2024-03-06 23:03:24,462 wheel INFO adding 'pythondata_software_compiler_rt/data/README.txt' 2024-03-06 23:03:24,463 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/config-ix.cmake' 2024-03-06 23:03:24,465 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/AddCompilerRT.cmake' 2024-03-06 23:03:24,466 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTCompile.cmake' 2024-03-06 23:03:24,467 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTDarwinUtils.cmake' 2024-03-06 23:03:24,468 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTLink.cmake' 2024-03-06 23:03:24,469 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTUtils.cmake' 2024-03-06 23:03:24,470 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/SanitizerUtils.cmake' 2024-03-06 23:03:24,471 wheel INFO adding 'pythondata_software_compiler_rt/data/include/CMakeLists.txt' 2024-03-06 23:03:24,472 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/allocator_interface.h' 2024-03-06 23:03:24,473 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/asan_interface.h' 2024-03-06 23:03:24,475 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/common_interface_defs.h' 2024-03-06 23:03:24,476 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/coverage_interface.h' 2024-03-06 23:03:24,477 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/dfsan_interface.h' 2024-03-06 23:03:24,478 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/linux_syscall_hooks.h' 2024-03-06 23:03:24,482 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/lsan_interface.h' 2024-03-06 23:03:24,483 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/msan_interface.h' 2024-03-06 23:03:24,484 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/tsan_interface_atomic.h' 2024-03-06 23:03:24,485 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/CMakeLists.txt' 2024-03-06 23:03:24,486 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/Makefile.mk' 2024-03-06 23:03:24,487 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block.h' 2024-03-06 23:03:24,488 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block_private.h' 2024-03-06 23:03:24,489 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/BlocksRuntime/data.c' 2024-03-06 23:03:24,490 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/BlocksRuntime/runtime.c' 2024-03-06 23:03:24,492 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/CMakeLists.txt' 2024-03-06 23:03:24,493 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/Makefile.mk' 2024-03-06 23:03:24,494 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/README.txt' 2024-03-06 23:03:24,495 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan.syms.extra' 2024-03-06 23:03:24,496 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_activation.cc' 2024-03-06 23:03:24,497 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_activation.h' 2024-03-06 23:03:24,498 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_activation_flags.inc' 2024-03-06 23:03:24,499 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_allocator.cc' 2024-03-06 23:03:24,500 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_allocator.h' 2024-03-06 23:03:24,501 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_blacklist.txt' 2024-03-06 23:03:24,502 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_debugging.cc' 2024-03-06 23:03:24,503 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.cc' 2024-03-06 23:03:24,504 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.h' 2024-03-06 23:03:24,505 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_flags.cc' 2024-03-06 23:03:24,506 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_flags.h' 2024-03-06 23:03:24,507 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_flags.inc' 2024-03-06 23:03:24,509 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_globals.cc' 2024-03-06 23:03:24,510 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_init_version.h' 2024-03-06 23:03:24,511 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.cc' 2024-03-06 23:03:24,512 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.h' 2024-03-06 23:03:24,513 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_interface_internal.h' 2024-03-06 23:03:24,514 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_internal.h' 2024-03-06 23:03:24,515 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_linux.cc' 2024-03-06 23:03:24,516 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_lock.h' 2024-03-06 23:03:24,517 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_mac.cc' 2024-03-06 23:03:24,518 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_malloc_linux.cc' 2024-03-06 23:03:24,519 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_malloc_mac.cc' 2024-03-06 23:03:24,520 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_malloc_win.cc' 2024-03-06 23:03:24,521 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_mapping.h' 2024-03-06 23:03:24,522 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_new_delete.cc' 2024-03-06 23:03:24,524 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.cc' 2024-03-06 23:03:24,525 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.h' 2024-03-06 23:03:24,526 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_posix.cc' 2024-03-06 23:03:24,527 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_preinit.cc' 2024-03-06 23:03:24,528 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_report.cc' 2024-03-06 23:03:24,529 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_report.h' 2024-03-06 23:03:24,530 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_rtl.cc' 2024-03-06 23:03:24,532 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_stack.cc' 2024-03-06 23:03:24,533 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_stack.h' 2024-03-06 23:03:24,534 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_stats.cc' 2024-03-06 23:03:24,535 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_stats.h' 2024-03-06 23:03:24,536 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.cc' 2024-03-06 23:03:24,537 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.h' 2024-03-06 23:03:24,538 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_thread.cc' 2024-03-06 23:03:24,539 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_thread.h' 2024-03-06 23:03:24,540 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_win.cc' 2024-03-06 23:03:24,541 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_win_dll_thunk.cc' 2024-03-06 23:03:24,542 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_win_dynamic_runtime_thunk.cc' 2024-03-06 23:03:24,543 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/scripts/CMakeLists.txt' 2024-03-06 23:03:24,544 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/scripts/asan_device_setup' 2024-03-06 23:03:24,545 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/scripts/asan_symbolize.py' 2024-03-06 23:03:24,547 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/CMakeLists.txt' 2024-03-06 23:03:24,548 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_asm_test.cc' 2024-03-06 23:03:24,549 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_benchmarks_test.cc' 2024-03-06 23:03:24,550 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_exceptions_test.cc' 2024-03-06 23:03:24,551 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_fake_stack_test.cc' 2024-03-06 23:03:24,552 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_globals_test.cc' 2024-03-06 23:03:24,553 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_interface_test.cc' 2024-03-06 23:03:24,554 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.cc' 2024-03-06 23:03:24,555 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.h' 2024-03-06 23:03:24,556 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test_helpers.mm' 2024-03-06 23:03:24,557 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_mem_test.cc' 2024-03-06 23:03:24,558 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_noinst_test.cc' 2024-03-06 23:03:24,560 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_oob_test.cc' 2024-03-06 23:03:24,561 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_racy_double_free_test.cc' 2024-03-06 23:03:24,562 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_str_test.cc' 2024-03-06 23:03:24,563 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.cc' 2024-03-06 23:03:24,564 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.ignore' 2024-03-06 23:03:24,565 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_config.h' 2024-03-06 23:03:24,566 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_main.cc' 2024-03-06 23:03:24,567 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_utils.h' 2024-03-06 23:03:24,570 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/CMakeLists.txt' 2024-03-06 23:03:24,571 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Makefile.mk' 2024-03-06 23:03:24,572 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/README.txt' 2024-03-06 23:03:24,573 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/absvdi2.c' 2024-03-06 23:03:24,574 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/absvsi2.c' 2024-03-06 23:03:24,575 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/absvti2.c' 2024-03-06 23:03:24,576 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/adddf3.c' 2024-03-06 23:03:24,577 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addsf3.c' 2024-03-06 23:03:24,577 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addtf3.c' 2024-03-06 23:03:24,578 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addvdi3.c' 2024-03-06 23:03:24,579 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addvsi3.c' 2024-03-06 23:03:24,580 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addvti3.c' 2024-03-06 23:03:24,581 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/apple_versioning.c' 2024-03-06 23:03:24,582 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ashldi3.c' 2024-03-06 23:03:24,583 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ashlti3.c' 2024-03-06 23:03:24,584 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ashrdi3.c' 2024-03-06 23:03:24,585 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ashrti3.c' 2024-03-06 23:03:24,586 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/assembly.h' 2024-03-06 23:03:24,587 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic.c' 2024-03-06 23:03:24,588 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear.c' 2024-03-06 23:03:24,589 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear_explicit.c' 2024-03-06 23:03:24,590 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set.c' 2024-03-06 23:03:24,591 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set_explicit.c' 2024-03-06 23:03:24,592 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_signal_fence.c' 2024-03-06 23:03:24,593 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_thread_fence.c' 2024-03-06 23:03:24,594 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/clear_cache.c' 2024-03-06 23:03:24,595 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/clzdi2.c' 2024-03-06 23:03:24,596 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/clzsi2.c' 2024-03-06 23:03:24,597 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/clzti2.c' 2024-03-06 23:03:24,598 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/cmpdi2.c' 2024-03-06 23:03:24,599 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/cmpti2.c' 2024-03-06 23:03:24,600 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/comparedf2.c' 2024-03-06 23:03:24,601 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/comparesf2.c' 2024-03-06 23:03:24,602 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/comparetf2.c' 2024-03-06 23:03:24,603 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ctzdi2.c' 2024-03-06 23:03:24,604 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ctzsi2.c' 2024-03-06 23:03:24,605 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ctzti2.c' 2024-03-06 23:03:24,606 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divdc3.c' 2024-03-06 23:03:24,607 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divdf3.c' 2024-03-06 23:03:24,608 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divdi3.c' 2024-03-06 23:03:24,609 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divmoddi4.c' 2024-03-06 23:03:24,610 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divmodsi4.c' 2024-03-06 23:03:24,611 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divsc3.c' 2024-03-06 23:03:24,612 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divsf3.c' 2024-03-06 23:03:24,613 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divsi3.c' 2024-03-06 23:03:24,614 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divtf3.c' 2024-03-06 23:03:24,615 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divti3.c' 2024-03-06 23:03:24,616 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divxc3.c' 2024-03-06 23:03:24,617 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/emutls.c' 2024-03-06 23:03:24,618 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/enable_execute_stack.c' 2024-03-06 23:03:24,619 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/eprintf.c' 2024-03-06 23:03:24,620 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/extenddftf2.c' 2024-03-06 23:03:24,621 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/extendhfsf2.c' 2024-03-06 23:03:24,622 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/extendsfdf2.c' 2024-03-06 23:03:24,622 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/extendsftf2.c' 2024-03-06 23:03:24,623 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ffsdi2.c' 2024-03-06 23:03:24,624 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ffsti2.c' 2024-03-06 23:03:24,625 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixdfdi.c' 2024-03-06 23:03:24,626 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixdfsi.c' 2024-03-06 23:03:24,627 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixdfti.c' 2024-03-06 23:03:24,628 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixsfdi.c' 2024-03-06 23:03:24,629 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixsfsi.c' 2024-03-06 23:03:24,630 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixsfti.c' 2024-03-06 23:03:24,631 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixtfdi.c' 2024-03-06 23:03:24,632 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixtfsi.c' 2024-03-06 23:03:24,633 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixtfti.c' 2024-03-06 23:03:24,634 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsdfdi.c' 2024-03-06 23:03:24,635 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsdfsi.c' 2024-03-06 23:03:24,636 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsdfti.c' 2024-03-06 23:03:24,637 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunssfdi.c' 2024-03-06 23:03:24,637 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunssfsi.c' 2024-03-06 23:03:24,638 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunssfti.c' 2024-03-06 23:03:24,639 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunstfdi.c' 2024-03-06 23:03:24,640 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunstfsi.c' 2024-03-06 23:03:24,641 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunstfti.c' 2024-03-06 23:03:24,642 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsxfdi.c' 2024-03-06 23:03:24,643 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsxfsi.c' 2024-03-06 23:03:24,644 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsxfti.c' 2024-03-06 23:03:24,645 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixxfdi.c' 2024-03-06 23:03:24,646 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixxfti.c' 2024-03-06 23:03:24,647 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatdidf.c' 2024-03-06 23:03:24,648 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatdisf.c' 2024-03-06 23:03:24,649 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatditf.c' 2024-03-06 23:03:24,650 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatdixf.c' 2024-03-06 23:03:24,651 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatsidf.c' 2024-03-06 23:03:24,652 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatsisf.c' 2024-03-06 23:03:24,653 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatsitf.c' 2024-03-06 23:03:24,654 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floattidf.c' 2024-03-06 23:03:24,655 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floattisf.c' 2024-03-06 23:03:24,656 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floattixf.c' 2024-03-06 23:03:24,658 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatundidf.c' 2024-03-06 23:03:24,659 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatundisf.c' 2024-03-06 23:03:24,660 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatunditf.c' 2024-03-06 23:03:24,660 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatundixf.c' 2024-03-06 23:03:24,661 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatunsidf.c' 2024-03-06 23:03:24,662 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatunsisf.c' 2024-03-06 23:03:24,663 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatunsitf.c' 2024-03-06 23:03:24,664 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatuntidf.c' 2024-03-06 23:03:24,665 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatuntisf.c' 2024-03-06 23:03:24,666 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatuntixf.c' 2024-03-06 23:03:24,667 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_add_impl.inc' 2024-03-06 23:03:24,668 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_extend.h' 2024-03-06 23:03:24,669 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_extend_impl.inc' 2024-03-06 23:03:24,670 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_fixint_impl.inc' 2024-03-06 23:03:24,671 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_fixuint_impl.inc' 2024-03-06 23:03:24,672 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_lib.h' 2024-03-06 23:03:24,673 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_mul_impl.inc' 2024-03-06 23:03:24,674 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_trunc.h' 2024-03-06 23:03:24,675 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_trunc_impl.inc' 2024-03-06 23:03:24,676 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/gcc_personality_v0.c' 2024-03-06 23:03:24,677 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_endianness.h' 2024-03-06 23:03:24,678 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_lib.h' 2024-03-06 23:03:24,679 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_math.h' 2024-03-06 23:03:24,680 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_types.h' 2024-03-06 23:03:24,681 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_util.c' 2024-03-06 23:03:24,682 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_util.h' 2024-03-06 23:03:24,683 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/lshrdi3.c' 2024-03-06 23:03:24,684 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/lshrti3.c' 2024-03-06 23:03:24,685 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/moddi3.c' 2024-03-06 23:03:24,686 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/modsi3.c' 2024-03-06 23:03:24,687 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/modti3.c' 2024-03-06 23:03:24,688 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/muldc3.c' 2024-03-06 23:03:24,689 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/muldf3.c' 2024-03-06 23:03:24,690 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/muldi3.c' 2024-03-06 23:03:24,691 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulodi4.c' 2024-03-06 23:03:24,692 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulosi4.c' 2024-03-06 23:03:24,693 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/muloti4.c' 2024-03-06 23:03:24,694 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulsc3.c' 2024-03-06 23:03:24,695 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulsf3.c' 2024-03-06 23:03:24,696 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/multc3.c' 2024-03-06 23:03:24,697 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/multf3.c' 2024-03-06 23:03:24,698 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/multi3.c' 2024-03-06 23:03:24,699 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulvdi3.c' 2024-03-06 23:03:24,700 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulvsi3.c' 2024-03-06 23:03:24,701 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulvti3.c' 2024-03-06 23:03:24,702 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulxc3.c' 2024-03-06 23:03:24,702 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negdf2.c' 2024-03-06 23:03:24,703 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negdi2.c' 2024-03-06 23:03:24,704 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negsf2.c' 2024-03-06 23:03:24,705 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negti2.c' 2024-03-06 23:03:24,706 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negvdi2.c' 2024-03-06 23:03:24,707 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negvsi2.c' 2024-03-06 23:03:24,708 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negvti2.c' 2024-03-06 23:03:24,709 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/paritydi2.c' 2024-03-06 23:03:24,710 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/paritysi2.c' 2024-03-06 23:03:24,711 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/parityti2.c' 2024-03-06 23:03:24,712 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/popcountdi2.c' 2024-03-06 23:03:24,713 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/popcountsi2.c' 2024-03-06 23:03:24,714 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/popcountti2.c' 2024-03-06 23:03:24,715 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/powidf2.c' 2024-03-06 23:03:24,716 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/powisf2.c' 2024-03-06 23:03:24,717 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/powitf2.c' 2024-03-06 23:03:24,718 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/powixf2.c' 2024-03-06 23:03:24,718 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subdf3.c' 2024-03-06 23:03:24,719 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subsf3.c' 2024-03-06 23:03:24,720 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subtf3.c' 2024-03-06 23:03:24,721 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subvdi3.c' 2024-03-06 23:03:24,722 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subvsi3.c' 2024-03-06 23:03:24,723 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subvti3.c' 2024-03-06 23:03:24,724 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/trampoline_setup.c' 2024-03-06 23:03:24,725 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/truncdfhf2.c' 2024-03-06 23:03:24,726 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/truncdfsf2.c' 2024-03-06 23:03:24,727 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/truncsfhf2.c' 2024-03-06 23:03:24,728 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/trunctfdf2.c' 2024-03-06 23:03:24,729 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/trunctfsf2.c' 2024-03-06 23:03:24,730 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ucmpdi2.c' 2024-03-06 23:03:24,731 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ucmpti2.c' 2024-03-06 23:03:24,732 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivdi3.c' 2024-03-06 23:03:24,733 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivmoddi4.c' 2024-03-06 23:03:24,734 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivmodsi4.c' 2024-03-06 23:03:24,735 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivmodti4.c' 2024-03-06 23:03:24,736 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivsi3.c' 2024-03-06 23:03:24,737 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivti3.c' 2024-03-06 23:03:24,738 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/umoddi3.c' 2024-03-06 23:03:24,739 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/umodsi3.c' 2024-03-06 23:03:24,740 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/umodti3.c' 2024-03-06 23:03:24,741 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4-x86_64.txt' 2024-03-06 23:03:24,742 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4.txt' 2024-03-06 23:03:24,743 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/CMakeLists.txt' 2024-03-06 23:03:24,744 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/README.TXT' 2024-03-06 23:03:24,744 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7.txt' 2024-03-06 23:03:24,745 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7s.txt' 2024-03-06 23:03:24,746 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios.txt' 2024-03-06 23:03:24,747 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7.txt' 2024-03-06 23:03:24,748 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7s.txt' 2024-03-06 23:03:24,749 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios7-arm64.txt' 2024-03-06 23:03:24,750 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-i386.txt' 2024-03-06 23:03:24,751 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-x86_64.txt' 2024-03-06 23:03:24,752 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim.txt' 2024-03-06 23:03:24,753 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-i386.txt' 2024-03-06 23:03:24,754 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-x86_64.txt' 2024-03-06 23:03:24,755 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx.txt' 2024-03-06 23:03:24,757 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/Makefile.mk' 2024-03-06 23:03:24,757 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/adddf3vfp.S' 2024-03-06 23:03:24,758 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/addsf3vfp.S' 2024-03-06 23:03:24,759 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmp.S' 2024-03-06 23:03:24,760 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmpeq_check_nan.c' 2024-03-06 23:03:24,761 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmp.S' 2024-03-06 23:03:24,762 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmpeq_check_nan.c' 2024-03-06 23:03:24,763 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_dcmp.S' 2024-03-06 23:03:24,764 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_div0.c' 2024-03-06 23:03:24,765 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_drsub.c' 2024-03-06 23:03:24,766 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_fcmp.S' 2024-03-06 23:03:24,767 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_frsub.c' 2024-03-06 23:03:24,768 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_idivmod.S' 2024-03-06 23:03:24,769 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_ldivmod.S' 2024-03-06 23:03:24,770 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcmp.S' 2024-03-06 23:03:24,771 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcpy.S' 2024-03-06 23:03:24,772 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memmove.S' 2024-03-06 23:03:24,773 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memset.S' 2024-03-06 23:03:24,774 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uidivmod.S' 2024-03-06 23:03:24,775 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uldivmod.S' 2024-03-06 23:03:24,776 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/bswapdi2.S' 2024-03-06 23:03:24,777 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/bswapsi2.S' 2024-03-06 23:03:24,777 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/clzdi2.S' 2024-03-06 23:03:24,778 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/clzsi2.S' 2024-03-06 23:03:24,779 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/comparesf2.S' 2024-03-06 23:03:24,780 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/divdf3vfp.S' 2024-03-06 23:03:24,781 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/divmodsi4.S' 2024-03-06 23:03:24,782 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/divsf3vfp.S' 2024-03-06 23:03:24,783 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/divsi3.S' 2024-03-06 23:03:24,784 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/eqdf2vfp.S' 2024-03-06 23:03:24,785 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/eqsf2vfp.S' 2024-03-06 23:03:24,786 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/extendsfdf2vfp.S' 2024-03-06 23:03:24,787 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/fixdfsivfp.S' 2024-03-06 23:03:24,788 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/fixsfsivfp.S' 2024-03-06 23:03:24,789 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/fixunsdfsivfp.S' 2024-03-06 23:03:24,790 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/fixunssfsivfp.S' 2024-03-06 23:03:24,791 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/floatsidfvfp.S' 2024-03-06 23:03:24,792 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/floatsisfvfp.S' 2024-03-06 23:03:24,793 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssidfvfp.S' 2024-03-06 23:03:24,794 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssisfvfp.S' 2024-03-06 23:03:24,795 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/gedf2vfp.S' 2024-03-06 23:03:24,796 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/gesf2vfp.S' 2024-03-06 23:03:24,797 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/gtdf2vfp.S' 2024-03-06 23:03:24,797 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/gtsf2vfp.S' 2024-03-06 23:03:24,798 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/ledf2vfp.S' 2024-03-06 23:03:24,799 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/lesf2vfp.S' 2024-03-06 23:03:24,800 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/ltdf2vfp.S' 2024-03-06 23:03:24,801 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/ltsf2vfp.S' 2024-03-06 23:03:24,802 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/modsi3.S' 2024-03-06 23:03:24,803 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/muldf3vfp.S' 2024-03-06 23:03:24,804 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/mulsf3vfp.S' 2024-03-06 23:03:24,805 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/nedf2vfp.S' 2024-03-06 23:03:24,806 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/negdf2vfp.S' 2024-03-06 23:03:24,807 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/negsf2vfp.S' 2024-03-06 23:03:24,808 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/nesf2vfp.S' 2024-03-06 23:03:24,809 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/restore_vfp_d8_d15_regs.S' 2024-03-06 23:03:24,810 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/save_vfp_d8_d15_regs.S' 2024-03-06 23:03:24,811 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/softfloat-alias.list' 2024-03-06 23:03:24,812 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/subdf3vfp.S' 2024-03-06 23:03:24,813 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/subsf3vfp.S' 2024-03-06 23:03:24,814 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/switch16.S' 2024-03-06 23:03:24,815 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/switch32.S' 2024-03-06 23:03:24,816 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/switch8.S' 2024-03-06 23:03:24,817 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/switchu8.S' 2024-03-06 23:03:24,818 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync-ops.h' 2024-03-06 23:03:24,819 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_4.S' 2024-03-06 23:03:24,819 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_8.S' 2024-03-06 23:03:24,820 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_4.S' 2024-03-06 23:03:24,821 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_8.S' 2024-03-06 23:03:24,822 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_4.S' 2024-03-06 23:03:24,823 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_8.S' 2024-03-06 23:03:24,824 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_4.S' 2024-03-06 23:03:24,825 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_8.S' 2024-03-06 23:03:24,826 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_4.S' 2024-03-06 23:03:24,827 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_8.S' 2024-03-06 23:03:24,828 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_4.S' 2024-03-06 23:03:24,829 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_8.S' 2024-03-06 23:03:24,830 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_4.S' 2024-03-06 23:03:24,831 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_8.S' 2024-03-06 23:03:24,832 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_4.S' 2024-03-06 23:03:24,833 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_8.S' 2024-03-06 23:03:24,834 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_4.S' 2024-03-06 23:03:24,835 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_8.S' 2024-03-06 23:03:24,836 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_4.S' 2024-03-06 23:03:24,837 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_8.S' 2024-03-06 23:03:24,838 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_synchronize.S' 2024-03-06 23:03:24,838 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/truncdfsf2vfp.S' 2024-03-06 23:03:24,839 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/udivmodsi4.S' 2024-03-06 23:03:24,840 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/udivsi3.S' 2024-03-06 23:03:24,841 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/umodsi3.S' 2024-03-06 23:03:24,842 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/unorddf2vfp.S' 2024-03-06 23:03:24,843 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/unordsf2vfp.S' 2024-03-06 23:03:24,844 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm64/Makefile.mk' 2024-03-06 23:03:24,846 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/armv6m/Makefile.mk' 2024-03-06 23:03:24,847 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/Makefile.mk' 2024-03-06 23:03:24,848 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/ashldi3.S' 2024-03-06 23:03:24,849 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/ashrdi3.S' 2024-03-06 23:03:24,850 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk.S' 2024-03-06 23:03:24,850 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk2.S' 2024-03-06 23:03:24,851 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/divdi3.S' 2024-03-06 23:03:24,852 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatdidf.S' 2024-03-06 23:03:24,853 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatdisf.S' 2024-03-06 23:03:24,854 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatdixf.S' 2024-03-06 23:03:24,855 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatundidf.S' 2024-03-06 23:03:24,856 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatundisf.S' 2024-03-06 23:03:24,857 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatundixf.S' 2024-03-06 23:03:24,858 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/lshrdi3.S' 2024-03-06 23:03:24,859 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/moddi3.S' 2024-03-06 23:03:24,860 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/muldi3.S' 2024-03-06 23:03:24,861 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/udivdi3.S' 2024-03-06 23:03:24,862 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/umoddi3.S' 2024-03-06 23:03:24,863 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/CMakeLists.txt' 2024-03-06 23:03:24,864 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/arm.txt' 2024-03-06 23:03:24,865 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/common.txt' 2024-03-06 23:03:24,866 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/i386.txt' 2024-03-06 23:03:24,867 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2-64.txt' 2024-03-06 23:03:24,868 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2.txt' 2024-03-06 23:03:24,869 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/DD.h' 2024-03-06 23:03:24,870 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/Makefile.mk' 2024-03-06 23:03:24,871 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/divtc3.c' 2024-03-06 23:03:24,872 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/fixtfdi.c' 2024-03-06 23:03:24,873 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/fixunstfdi.c' 2024-03-06 23:03:24,874 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/floatditf.c' 2024-03-06 23:03:24,875 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/floatunditf.c' 2024-03-06 23:03:24,876 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qadd.c' 2024-03-06 23:03:24,877 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qdiv.c' 2024-03-06 23:03:24,878 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qmul.c' 2024-03-06 23:03:24,879 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qsub.c' 2024-03-06 23:03:24,880 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/multc3.c' 2024-03-06 23:03:24,881 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/restFP.S' 2024-03-06 23:03:24,881 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/saveFP.S' 2024-03-06 23:03:24,883 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/Makefile.mk' 2024-03-06 23:03:24,884 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk.S' 2024-03-06 23:03:24,885 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk2.S' 2024-03-06 23:03:24,885 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdidf.c' 2024-03-06 23:03:24,886 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdisf.c' 2024-03-06 23:03:24,887 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdixf.c' 2024-03-06 23:03:24,888 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundidf.S' 2024-03-06 23:03:24,889 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundisf.S' 2024-03-06 23:03:24,890 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundixf.S' 2024-03-06 23:03:24,891 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/cfi/CMakeLists.txt' 2024-03-06 23:03:24,892 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/cfi/cfi_blacklist.txt' 2024-03-06 23:03:24,893 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/CMakeLists.txt' 2024-03-06 23:03:24,894 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan.cc' 2024-03-06 23:03:24,895 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan.h' 2024-03-06 23:03:24,896 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan.syms.extra' 2024-03-06 23:03:24,898 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan_custom.cc' 2024-03-06 23:03:24,899 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan_flags.inc' 2024-03-06 23:03:24,900 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan_interceptors.cc' 2024-03-06 23:03:24,901 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/done_abilist.txt' 2024-03-06 23:03:24,902 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/libc_ubuntu1404_abilist.txt' 2024-03-06 23:03:24,905 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py' 2024-03-06 23:03:24,906 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/scripts/check_custom_wrappers.sh' 2024-03-06 23:03:24,907 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/CMakeLists.txt' 2024-03-06 23:03:24,908 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/Makefile.mk' 2024-03-06 23:03:24,909 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception.h' 2024-03-06 23:03:24,910 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_linux.cc' 2024-03-06 23:03:24,911 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_linux.h' 2024-03-06 23:03:24,912 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_mac.cc' 2024-03-06 23:03:24,913 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_mac.h' 2024-03-06 23:03:24,914 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_type_test.cc' 2024-03-06 23:03:24,915 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_win.cc' 2024-03-06 23:03:24,916 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_win.h' 2024-03-06 23:03:24,917 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/CMakeLists.txt' 2024-03-06 23:03:24,918 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/Makefile.mk' 2024-03-06 23:03:24,919 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan.cc' 2024-03-06 23:03:24,920 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan.h' 2024-03-06 23:03:24,921 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.cc' 2024-03-06 23:03:24,922 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.h' 2024-03-06 23:03:24,923 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_common.cc' 2024-03-06 23:03:24,924 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_common.h' 2024-03-06 23:03:24,925 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_common_linux.cc' 2024-03-06 23:03:24,926 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_flags.inc' 2024-03-06 23:03:24,927 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_interceptors.cc' 2024-03-06 23:03:24,929 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_preinit.cc' 2024-03-06 23:03:24,929 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.cc' 2024-03-06 23:03:24,930 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.h' 2024-03-06 23:03:24,932 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/CMakeLists.txt' 2024-03-06 23:03:24,933 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan.cc' 2024-03-06 23:03:24,934 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan.h' 2024-03-06 23:03:24,935 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan.syms.extra' 2024-03-06 23:03:24,936 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_allocator.cc' 2024-03-06 23:03:24,937 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_allocator.h' 2024-03-06 23:03:24,938 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_blacklist.txt' 2024-03-06 23:03:24,939 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.cc' 2024-03-06 23:03:24,940 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.h' 2024-03-06 23:03:24,941 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_flags.h' 2024-03-06 23:03:24,942 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_flags.inc' 2024-03-06 23:03:24,943 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_interceptors.cc' 2024-03-06 23:03:24,945 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_interface_internal.h' 2024-03-06 23:03:24,946 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_linux.cc' 2024-03-06 23:03:24,947 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_new_delete.cc' 2024-03-06 23:03:24,948 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_origin.h' 2024-03-06 23:03:24,949 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.cc' 2024-03-06 23:03:24,950 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.h' 2024-03-06 23:03:24,951 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_report.cc' 2024-03-06 23:03:24,952 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_thread.cc' 2024-03-06 23:03:24,953 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_thread.h' 2024-03-06 23:03:24,954 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/CMakeLists.txt' 2024-03-06 23:03:24,955 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/msan_loadable.cc' 2024-03-06 23:03:24,956 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/msan_test.cc' 2024-03-06 23:03:24,959 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_config.h' 2024-03-06 23:03:24,960 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_main.cc' 2024-03-06 23:03:24,961 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/CMakeLists.txt' 2024-03-06 23:03:24,962 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/GCDAProfiling.c' 2024-03-06 23:03:24,963 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.c' 2024-03-06 23:03:24,964 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.h' 2024-03-06 23:03:24,965 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingBuffer.c' 2024-03-06 23:03:24,966 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingFile.c' 2024-03-06 23:03:24,967 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingInternal.h' 2024-03-06 23:03:24,968 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformDarwin.c' 2024-03-06 23:03:24,969 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformLinux.c' 2024-03-06 23:03:24,970 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformOther.c' 2024-03-06 23:03:24,971 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingRuntime.cc' 2024-03-06 23:03:24,972 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.c' 2024-03-06 23:03:24,973 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.h' 2024-03-06 23:03:24,974 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/Makefile.mk' 2024-03-06 23:03:24,975 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/safestack/CMakeLists.txt' 2024-03-06 23:03:24,976 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/safestack/safestack.cc' 2024-03-06 23:03:24,978 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/CMakeLists.txt' 2024-03-06 23:03:24,979 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/Makefile.mk' 2024-03-06 23:03:24,980 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_addrhashmap.h' 2024-03-06 23:03:24,981 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.cc' 2024-03-06 23:03:24,982 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.h' 2024-03-06 23:03:24,984 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_interface.h' 2024-03-06 23:03:24,985 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_internal.h' 2024-03-06 23:03:24,986 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_asm.h' 2024-03-06 23:03:24,987 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic.h' 2024-03-06 23:03:24,988 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang.h' 2024-03-06 23:03:24,989 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_other.h' 2024-03-06 23:03:24,990 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_x86.h' 2024-03-06 23:03:24,991 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_msvc.h' 2024-03-06 23:03:24,992 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bitvector.h' 2024-03-06 23:03:24,993 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bvgraph.h' 2024-03-06 23:03:24,994 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.cc' 2024-03-06 23:03:24,995 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.h' 2024-03-06 23:03:24,997 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors.inc' 2024-03-06 23:03:25,001 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_format.inc' 2024-03-06 23:03:25,002 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc' 2024-03-06 23:03:25,003 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_libcdep.cc' 2024-03-06 23:03:25,004 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_nolibc.cc' 2024-03-06 23:03:25,006 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_syscalls.inc' 2024-03-06 23:03:25,008 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_libcdep.cc' 2024-03-06 23:03:25,010 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_mapping_libcdep.cc' 2024-03-06 23:03:25,011 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector.h' 2024-03-06 23:03:25,012 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector1.cc' 2024-03-06 23:03:25,014 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector2.cc' 2024-03-06 23:03:25,015 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector_interface.h' 2024-03-06 23:03:25,016 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.cc' 2024-03-06 23:03:25,017 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.h' 2024-03-06 23:03:25,018 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.cc' 2024-03-06 23:03:25,019 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.h' 2024-03-06 23:03:25,020 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.inc' 2024-03-06 23:03:25,021 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_freebsd.h' 2024-03-06 23:03:25,022 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_interface_internal.h' 2024-03-06 23:03:25,023 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_internal_defs.h' 2024-03-06 23:03:25,024 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_lfstack.h' 2024-03-06 23:03:25,025 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.cc' 2024-03-06 23:03:25,026 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.h' 2024-03-06 23:03:25,027 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.cc' 2024-03-06 23:03:25,028 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.h' 2024-03-06 23:03:25,029 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.cc' 2024-03-06 23:03:25,031 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.h' 2024-03-06 23:03:25,032 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux_libcdep.cc' 2024-03-06 23:03:25,033 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_list.h' 2024-03-06 23:03:25,034 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.cc' 2024-03-06 23:03:25,035 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.h' 2024-03-06 23:03:25,036 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_malloc_mac.inc' 2024-03-06 23:03:25,037 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mutex.h' 2024-03-06 23:03:25,038 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.cc' 2024-03-06 23:03:25,039 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.h' 2024-03-06 23:03:25,040 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_placement_new.h' 2024-03-06 23:03:25,041 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform.h' 2024-03-06 23:03:25,042 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_interceptors.h' 2024-03-06 23:03:25,043 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_linux.cc' 2024-03-06 23:03:25,044 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.cc' 2024-03-06 23:03:25,046 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.h' 2024-03-06 23:03:25,048 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.cc' 2024-03-06 23:03:25,049 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.h' 2024-03-06 23:03:25,050 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix_libcdep.cc' 2024-03-06 23:03:25,051 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_printf.cc' 2024-03-06 23:03:25,052 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps.h' 2024-03-06 23:03:25,053 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_common.cc' 2024-03-06 23:03:25,054 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_freebsd.cc' 2024-03-06 23:03:25,055 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_linux.cc' 2024-03-06 23:03:25,056 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_mac.cc' 2024-03-06 23:03:25,057 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_quarantine.h' 2024-03-06 23:03:25,058 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_report_decorator.h' 2024-03-06 23:03:25,059 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.cc' 2024-03-06 23:03:25,060 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.h' 2024-03-06 23:03:25,061 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepotbase.h' 2024-03-06 23:03:25,062 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.cc' 2024-03-06 23:03:25,063 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.h' 2024-03-06 23:03:25,064 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_libcdep.cc' 2024-03-06 23:03:25,065 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.cc' 2024-03-06 23:03:25,066 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.h' 2024-03-06 23:03:25,067 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld.h' 2024-03-06 23:03:25,068 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld_linux_libcdep.cc' 2024-03-06 23:03:25,070 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.cc' 2024-03-06 23:03:25,071 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.h' 2024-03-06 23:03:25,072 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.cc' 2024-03-06 23:03:25,073 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.h' 2024-03-06 23:03:25,074 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_internal.h' 2024-03-06 23:03:25,075 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.cc' 2024-03-06 23:03:25,076 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.h' 2024-03-06 23:03:25,077 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libcdep.cc' 2024-03-06 23:03:25,078 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.cc' 2024-03-06 23:03:25,079 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.h' 2024-03-06 23:03:25,080 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_posix_libcdep.cc' 2024-03-06 23:03:25,081 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_win.cc' 2024-03-06 23:03:25,082 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_generic.inc' 2024-03-06 23:03:25,083 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_aarch64.inc' 2024-03-06 23:03:25,084 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_x86_64.inc' 2024-03-06 23:03:25,086 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.cc' 2024-03-06 23:03:25,087 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.h' 2024-03-06 23:03:25,088 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.cc' 2024-03-06 23:03:25,089 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.h' 2024-03-06 23:03:25,090 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_unwind_linux_libcdep.cc' 2024-03-06 23:03:25,091 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_win.cc' 2024-03-06 23:03:25,092 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/check_lint.sh' 2024-03-06 23:03:25,094 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/cpplint.py' 2024-03-06 23:03:25,097 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/gen_dynamic_list.py' 2024-03-06 23:03:25,098 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint.py' 2024-03-06 23:03:25,099 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint_test.py' 2024-03-06 23:03:25,100 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/sancov.py' 2024-03-06 23:03:25,101 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/CMakeLists.txt' 2024-03-06 23:03:25,103 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_test.cc' 2024-03-06 23:03:25,104 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_testlib.cc' 2024-03-06 23:03:25,105 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_atomic_test.cc' 2024-03-06 23:03:25,106 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bitvector_test.cc' 2024-03-06 23:03:25,107 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bvgraph_test.cc' 2024-03-06 23:03:25,108 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_common_test.cc' 2024-03-06 23:03:25,109 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_deadlock_detector_test.cc' 2024-03-06 23:03:25,110 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_flags_test.cc' 2024-03-06 23:03:25,112 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_format_interceptor_test.cc' 2024-03-06 23:03:25,113 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_ioctl_test.cc' 2024-03-06 23:03:25,114 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_libc_test.cc' 2024-03-06 23:03:25,115 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_linux_test.cc' 2024-03-06 23:03:25,116 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_list_test.cc' 2024-03-06 23:03:25,117 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_mutex_test.cc' 2024-03-06 23:03:25,118 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test.cc' 2024-03-06 23:03:25,119 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test_main.cc' 2024-03-06 23:03:25,120 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_posix_test.cc' 2024-03-06 23:03:25,121 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_printf_test.cc' 2024-03-06 23:03:25,122 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_procmaps_test.cc' 2024-03-06 23:03:25,123 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_pthread_wrappers.h' 2024-03-06 23:03:25,124 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stackdepot_test.cc' 2024-03-06 23:03:25,125 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_printer_test.cc' 2024-03-06 23:03:25,126 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_test.cc' 2024-03-06 23:03:25,127 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_test.cc' 2024-03-06 23:03:25,128 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_testlib.cc' 2024-03-06 23:03:25,129 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_suppressions_test.cc' 2024-03-06 23:03:25,130 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_symbolizer_test.cc' 2024-03-06 23:03:25,131 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_config.h' 2024-03-06 23:03:25,132 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_main.cc' 2024-03-06 23:03:25,133 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_utils.h' 2024-03-06 23:03:25,134 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_thread_registry_test.cc' 2024-03-06 23:03:25,135 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/standalone_malloc_test.cc' 2024-03-06 23:03:25,136 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/CMakeLists.txt' 2024-03-06 23:03:25,137 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/Makefile.old' 2024-03-06 23:03:25,138 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/analyze_libtsan.sh' 2024-03-06 23:03:25,139 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/check_analyze.sh' 2024-03-06 23:03:25,140 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/check_cmake.sh' 2024-03-06 23:03:25,141 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/check_memcpy.sh' 2024-03-06 23:03:25,142 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_local.cc' 2024-03-06 23:03:25,143 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_shared.cc' 2024-03-06 23:03:25,144 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/benchmarks/start_many_threads.cc' 2024-03-06 23:03:25,145 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/benchmarks/vts_many_threads_bench.cc' 2024-03-06 23:03:25,146 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/dd/CMakeLists.txt' 2024-03-06 23:03:25,147 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/dd/dd_interceptors.cc' 2024-03-06 23:03:25,148 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.cc' 2024-03-06 23:03:25,149 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.h' 2024-03-06 23:03:25,150 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/go/build.bat' 2024-03-06 23:03:25,151 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/go/buildgo.sh' 2024-03-06 23:03:25,152 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/go/test.c' 2024-03-06 23:03:25,153 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/go/tsan_go.cc' 2024-03-06 23:03:25,154 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/Makefile.old' 2024-03-06 23:03:25,155 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan.syms.extra' 2024-03-06 23:03:25,156 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.cc' 2024-03-06 23:03:25,157 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.h' 2024-03-06 23:03:25,158 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_defs.h' 2024-03-06 23:03:25,159 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_dense_alloc.h' 2024-03-06 23:03:25,161 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.cc' 2024-03-06 23:03:25,162 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.h' 2024-03-06 23:03:25,163 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.cc' 2024-03-06 23:03:25,164 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.h' 2024-03-06 23:03:25,165 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.inc' 2024-03-06 23:03:25,166 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.cc' 2024-03-06 23:03:25,167 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.h' 2024-03-06 23:03:25,168 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.cc' 2024-03-06 23:03:25,170 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.h' 2024-03-06 23:03:25,171 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.cc' 2024-03-06 23:03:25,172 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.h' 2024-03-06 23:03:25,173 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.cc' 2024-03-06 23:03:25,174 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.h' 2024-03-06 23:03:25,175 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_atomic.cc' 2024-03-06 23:03:25,176 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_inl.h' 2024-03-06 23:03:25,177 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.cc' 2024-03-06 23:03:25,179 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.h' 2024-03-06 23:03:25,179 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_malloc_mac.cc' 2024-03-06 23:03:25,180 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_md5.cc' 2024-03-06 23:03:25,182 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.cc' 2024-03-06 23:03:25,183 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.h' 2024-03-06 23:03:25,184 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.cc' 2024-03-06 23:03:25,185 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.h' 2024-03-06 23:03:25,186 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.cc' 2024-03-06 23:03:25,187 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.h' 2024-03-06 23:03:25,188 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_new_delete.cc' 2024-03-06 23:03:25,189 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform.h' 2024-03-06 23:03:25,190 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_linux.cc' 2024-03-06 23:03:25,191 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_mac.cc' 2024-03-06 23:03:25,192 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_posix.cc' 2024-03-06 23:03:25,193 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_windows.cc' 2024-03-06 23:03:25,194 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.cc' 2024-03-06 23:03:25,195 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.h' 2024-03-06 23:03:25,196 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.cc' 2024-03-06 23:03:25,198 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.h' 2024-03-06 23:03:25,199 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_amd64.S' 2024-03-06 23:03:25,200 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_mutex.cc' 2024-03-06 23:03:25,202 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_report.cc' 2024-03-06 23:03:25,203 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_thread.cc' 2024-03-06 23:03:25,204 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.cc' 2024-03-06 23:03:25,205 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.h' 2024-03-06 23:03:25,206 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.cc' 2024-03-06 23:03:25,207 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.h' 2024-03-06 23:03:25,208 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.cc' 2024-03-06 23:03:25,209 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.h' 2024-03-06 23:03:25,210 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.cc' 2024-03-06 23:03:25,211 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.h' 2024-03-06 23:03:25,212 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.cc' 2024-03-06 23:03:25,213 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.h' 2024-03-06 23:03:25,214 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_trace.h' 2024-03-06 23:03:25,215 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_update_shadow_word_inl.h' 2024-03-06 23:03:25,216 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_vector.h' 2024-03-06 23:03:25,217 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/CMakeLists.txt' 2024-03-06 23:03:25,218 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/CMakeLists.txt' 2024-03-06 23:03:25,219 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_bench.cc' 2024-03-06 23:03:25,220 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mop.cc' 2024-03-06 23:03:25,221 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mutex.cc' 2024-03-06 23:03:25,222 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_posix.cc' 2024-03-06 23:03:25,223 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_string.cc' 2024-03-06 23:03:25,224 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test.cc' 2024-03-06 23:03:25,225 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util.h' 2024-03-06 23:03:25,226 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util_linux.cc' 2024-03-06 23:03:25,227 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_thread.cc' 2024-03-06 23:03:25,229 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/CMakeLists.txt' 2024-03-06 23:03:25,230 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_clock_test.cc' 2024-03-06 23:03:25,231 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_dense_alloc_test.cc' 2024-03-06 23:03:25,232 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_flags_test.cc' 2024-03-06 23:03:25,233 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mman_test.cc' 2024-03-06 23:03:25,234 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutex_test.cc' 2024-03-06 23:03:25,235 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutexset_test.cc' 2024-03-06 23:03:25,236 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_shadow_test.cc' 2024-03-06 23:03:25,237 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_stack_test.cc' 2024-03-06 23:03:25,238 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_sync_test.cc' 2024-03-06 23:03:25,239 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_unit_test_main.cc' 2024-03-06 23:03:25,240 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_vector_test.cc' 2024-03-06 23:03:25,241 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/CMakeLists.txt' 2024-03-06 23:03:25,242 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/Makefile.mk' 2024-03-06 23:03:25,243 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan.syms.extra' 2024-03-06 23:03:25,244 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_checks.inc' 2024-03-06 23:03:25,245 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.cc' 2024-03-06 23:03:25,246 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.h' 2024-03-06 23:03:25,247 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.cc' 2024-03-06 23:03:25,248 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.h' 2024-03-06 23:03:25,249 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.inc' 2024-03-06 23:03:25,250 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.cc' 2024-03-06 23:03:25,251 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.h' 2024-03-06 23:03:25,252 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.cc' 2024-03-06 23:03:25,253 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.h' 2024-03-06 23:03:25,254 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.cc' 2024-03-06 23:03:25,255 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.h' 2024-03-06 23:03:25,256 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init_standalone.cc' 2024-03-06 23:03:25,257 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_platform.h' 2024-03-06 23:03:25,258 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.cc' 2024-03-06 23:03:25,259 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.h' 2024-03-06 23:03:25,260 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_itanium.cc' 2024-03-06 23:03:25,261 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_win.cc' 2024-03-06 23:03:25,262 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.cc' 2024-03-06 23:03:25,263 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.h' 2024-03-06 23:03:25,265 wheel INFO adding 'pythondata_software_compiler_rt/data/make/AppleBI.mk' 2024-03-06 23:03:25,266 wheel INFO adding 'pythondata_software_compiler_rt/data/make/config.mk' 2024-03-06 23:03:25,266 wheel INFO adding 'pythondata_software_compiler_rt/data/make/filter-inputs' 2024-03-06 23:03:25,267 wheel INFO adding 'pythondata_software_compiler_rt/data/make/lib_info.mk' 2024-03-06 23:03:25,268 wheel INFO adding 'pythondata_software_compiler_rt/data/make/lib_platforms.mk' 2024-03-06 23:03:25,269 wheel INFO adding 'pythondata_software_compiler_rt/data/make/lib_util.mk' 2024-03-06 23:03:25,270 wheel INFO adding 'pythondata_software_compiler_rt/data/make/options.mk' 2024-03-06 23:03:25,271 wheel INFO adding 'pythondata_software_compiler_rt/data/make/subdir.mk' 2024-03-06 23:03:25,272 wheel INFO adding 'pythondata_software_compiler_rt/data/make/util.mk' 2024-03-06 23:03:25,273 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_darwin.mk' 2024-03-06 23:03:25,275 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_darwin_test_input.c' 2024-03-06 23:03:25,276 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_linux.mk' 2024-03-06 23:03:25,277 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_linux_test_input.c' 2024-03-06 23:03:25,278 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded.mk' 2024-03-06 23:03:25,279 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded_test_input.c' 2024-03-06 23:03:25,280 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/darwin_bni.mk' 2024-03-06 23:03:25,281 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/multi_arch.mk' 2024-03-06 23:03:25,282 wheel INFO adding 'pythondata_software_compiler_rt/data/make/test/test-util.mk' 2024-03-06 23:03:25,283 wheel INFO adding 'pythondata_software_compiler_rt/data/test/CMakeLists.txt' 2024-03-06 23:03:25,284 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lit.common.cfg' 2024-03-06 23:03:25,285 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lit.common.configured.in' 2024-03-06 23:03:25,286 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/block-static.c' 2024-03-06 23:03:25,287 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/blockimport.c' 2024-03-06 23:03:25,288 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefaccess.c' 2024-03-06 23:03:25,289 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopy.c' 2024-03-06 23:03:25,290 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopycopy.c' 2024-03-06 23:03:25,291 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyinner.c' 2024-03-06 23:03:25,292 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyint.c' 2024-03-06 23:03:25,293 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopystack.c' 2024-03-06 23:03:25,294 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefsanity.c' 2024-03-06 23:03:25,295 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefstruct.c' 2024-03-06 23:03:25,296 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/c99.c' 2024-03-06 23:03:25,297 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/cast.c' 2024-03-06 23:03:25,298 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/constassign.c' 2024-03-06 23:03:25,299 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/copy-block-literal-rdar6439600.c' 2024-03-06 23:03:25,300 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/copyconstructor.C' 2024-03-06 23:03:25,301 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/copynull.c' 2024-03-06 23:03:25,301 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_async.c' 2024-03-06 23:03:25,302 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_call_Block_with_release.c' 2024-03-06 23:03:25,303 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/fail.c' 2024-03-06 23:03:25,304 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/flagsisa.c' 2024-03-06 23:03:25,305 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/globalexpression.c' 2024-03-06 23:03:25,306 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/goto.c' 2024-03-06 23:03:25,307 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/hasdescriptor.c' 2024-03-06 23:03:25,308 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/josh.C' 2024-03-06 23:03:25,309 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/k-and-r.c' 2024-03-06 23:03:25,310 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/large-struct.c' 2024-03-06 23:03:25,311 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/localisglobal.c' 2024-03-06 23:03:25,312 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/macro.c' 2024-03-06 23:03:25,313 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/makefile' 2024-03-06 23:03:25,314 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/modglobal.c' 2024-03-06 23:03:25,315 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/nestedimport.c' 2024-03-06 23:03:25,316 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/nullblockisa.c' 2024-03-06 23:03:25,316 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/objectRRGC.c' 2024-03-06 23:03:25,317 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/objectassign.c' 2024-03-06 23:03:25,318 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/orbars.c' 2024-03-06 23:03:25,319 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6396238.c' 2024-03-06 23:03:25,320 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6405500.c' 2024-03-06 23:03:25,321 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6414583.c' 2024-03-06 23:03:25,322 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-block.c' 2024-03-06 23:03:25,323 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-test.c' 2024-03-06 23:03:25,324 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/recursiveassign.c' 2024-03-06 23:03:25,325 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/reference.C' 2024-03-06 23:03:25,326 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/rettypepromotion.c' 2024-03-06 23:03:25,327 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/returnfunctionptr.c' 2024-03-06 23:03:25,328 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/shorthandexpression.c' 2024-03-06 23:03:25,329 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/sizeof.c' 2024-03-06 23:03:25,330 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/small-struct.c' 2024-03-06 23:03:25,331 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/structmember.c' 2024-03-06 23:03:25,332 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.h' 2024-03-06 23:03:25,333 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.m' 2024-03-06 23:03:25,334 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs-bad-assign.c' 2024-03-06 23:03:25,335 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs.c' 2024-03-06 23:03:25,336 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/variadic.c' 2024-03-06 23:03:25,337 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/voidarg.c' 2024-03-06 23:03:25,338 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/CMakeLists.txt' 2024-03-06 23:03:25,339 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/lit.cfg' 2024-03-06 23:03:25,340 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/lit.site.cfg.in' 2024-03-06 23:03:25,342 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_big_alignment.cc' 2024-03-06 23:03:25,343 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_detect_custom_size_.cc' 2024-03-06 23:03:25,344 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_instruments_all_paddings.cc' 2024-03-06 23:03:25,345 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_loop_unpoisoning.cc' 2024-03-06 23:03:25,346 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_partial.cc' 2024-03-06 23:03:25,347 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_right.cc' 2024-03-06 23:03:25,348 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_safe_access.cc' 2024-03-06 23:03:25,349 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_underflow_left.cc' 2024-03-06 23:03:25,350 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_vla_interact.cc' 2024-03-06 23:03:25,351 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/allocator_returns_null.cc' 2024-03-06 23:03:25,352 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/asan_and_llvm_coverage_test.cc' 2024-03-06 23:03:25,352 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/asan_options-help.cc' 2024-03-06 23:03:25,353 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/atexit_stats.cc' 2024-03-06 23:03:25,354 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/atoi_strict.c' 2024-03-06 23:03:25,355 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/atol_strict.c' 2024-03-06 23:03:25,356 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/atoll_strict.c' 2024-03-06 23:03:25,357 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/blacklist.cc' 2024-03-06 23:03:25,358 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container.cc' 2024-03-06 23:03:25,359 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container_crash.cc' 2024-03-06 23:03:25,360 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-and-lsan.cc' 2024-03-06 23:03:25,361 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-caller-callee-total-count.cc' 2024-03-06 23:03:25,362 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-disabled.cc' 2024-03-06 23:03:25,363 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-levels.cc' 2024-03-06 23:03:25,364 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-order-pcs.cc' 2024-03-06 23:03:25,365 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-reset.cc' 2024-03-06 23:03:25,366 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-tracing.cc' 2024-03-06 23:03:25,367 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_locate.cc' 2024-03-06 23:03:25,368 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_mapping.cc' 2024-03-06 23:03:25,373 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_ppc64_mapping.cc' 2024-03-06 23:03:25,374 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_report.cc' 2024-03-06 23:03:25,375 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_stacks.cc' 2024-03-06 23:03:25,376 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/deep_stack_uaf.cc' 2024-03-06 23:03:25,377 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/deep_tail_call.cc' 2024-03-06 23:03:25,378 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/default_blacklist.cc' 2024-03-06 23:03:25,379 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/default_options.cc' 2024-03-06 23:03:25,380 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/describe_address.cc' 2024-03-06 23:03:25,381 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/double-free.cc' 2024-03-06 23:03:25,382 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/dump_instruction_bytes.cc' 2024-03-06 23:03:25,383 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/force_inline_opt0.cc' 2024-03-06 23:03:25,384 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/frexp_interceptor.cc' 2024-03-06 23:03:25,384 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/global-demangle.cc' 2024-03-06 23:03:25,385 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/global-location.cc' 2024-03-06 23:03:25,386 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/global-overflow.cc' 2024-03-06 23:03:25,387 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow-large.cc' 2024-03-06 23:03:25,388 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow.cc' 2024-03-06 23:03:25,389 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/heavy_uar_test.cc' 2024-03-06 23:03:25,390 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/huge_negative_hea_oob.cc' 2024-03-06 23:03:25,391 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/init-order-atexit.cc' 2024-03-06 23:03:25,392 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-blacklist.cc' 2024-03-06 23:03:25,393 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-bug.cc' 2024-03-06 23:03:25,394 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-constexpr.cc' 2024-03-06 23:03:25,395 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-nobug.cc' 2024-03-06 23:03:25,396 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/inline.cc' 2024-03-06 23:03:25,397 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/interception_failure_test.cc' 2024-03-06 23:03:25,398 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/interface_test.cc' 2024-03-06 23:03:25,399 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/intra-object-overflow.cc' 2024-03-06 23:03:25,400 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/invalid-free.cc' 2024-03-06 23:03:25,401 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/large_func_test.cc' 2024-03-06 23:03:25,402 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/log-path_test.cc' 2024-03-06 23:03:25,403 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/longjmp.cc' 2024-03-06 23:03:25,404 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/lsan_annotations.cc' 2024-03-06 23:03:25,404 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_context_size.cc' 2024-03-06 23:03:25,405 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_fill.cc' 2024-03-06 23:03:25,406 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/max_redzone.cc' 2024-03-06 23:03:25,407 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_strict_test.cc' 2024-03-06 23:03:25,408 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_test.cc' 2024-03-06 23:03:25,409 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/memset_test.cc' 2024-03-06 23:03:25,410 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/mmap_limit_mb.cc' 2024-03-06 23:03:25,411 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/no_asan_gen_globals.c' 2024-03-06 23:03:25,412 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/null_deref.cc' 2024-03-06 23:03:25,413 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/on_error_callback.cc' 2024-03-06 23:03:25,414 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/partial_right.cc' 2024-03-06 23:03:25,415 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/poison_partial.cc' 2024-03-06 23:03:25,416 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/print_summary.cc' 2024-03-06 23:03:25,417 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-1.c' 2024-03-06 23:03:25,418 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-2.c' 2024-03-06 23:03:25,419 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-3.c' 2024-03-06 23:03:25,420 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-4.c' 2024-03-06 23:03:25,420 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-5.c' 2024-03-06 23:03:25,421 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/sanity_check_pure_c.c' 2024-03-06 23:03:25,422 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/sleep_before_dying.c' 2024-03-06 23:03:25,423 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/speculative_load.cc' 2024-03-06 23:03:25,424 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow-with-position.cc' 2024-03-06 23:03:25,425 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow.cc' 2024-03-06 23:03:25,426 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/stack-frame-demangle.cc' 2024-03-06 23:03:25,427 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/stack-oob-frames.cc' 2024-03-06 23:03:25,428 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-1.c' 2024-03-06 23:03:25,429 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-2.c' 2024-03-06 23:03:25,430 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr_strict.c' 2024-03-06 23:03:25,431 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcat_strict.c' 2024-03-06 23:03:25,432 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strchr_strict.c' 2024-03-06 23:03:25,433 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcmp_strict.c' 2024-03-06 23:03:25,434 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-1.c' 2024-03-06 23:03:25,435 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-2.c' 2024-03-06 23:03:25,436 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn_strict.c' 2024-03-06 23:03:25,437 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strdup_oob_test.cc' 2024-03-06 23:03:25,438 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strip_path_prefix.c' 2024-03-06 23:03:25,439 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strncat_strict.c' 2024-03-06 23:03:25,439 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strncpy-overflow.cc' 2024-03-06 23:03:25,440 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-1.c' 2024-03-06 23:03:25,441 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-2.c' 2024-03-06 23:03:25,442 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk_strict.c' 2024-03-06 23:03:25,443 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-1.c' 2024-03-06 23:03:25,444 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-2.c' 2024-03-06 23:03:25,445 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strspn_strict.c' 2024-03-06 23:03:25,446 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-1.c' 2024-03-06 23:03:25,447 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-2.c' 2024-03-06 23:03:25,448 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strstr_strict.c' 2024-03-06 23:03:25,449 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strtol_strict.c' 2024-03-06 23:03:25,450 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strtoll_strict.c' 2024-03-06 23:03:25,451 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-exec-relative-location.cc' 2024-03-06 23:03:25,452 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-function.cc' 2024-03-06 23:03:25,453 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-interceptor.cc' 2024-03-06 23:03:25,454 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-library.cc' 2024-03-06 23:03:25,455 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/throw_call_test.cc' 2024-03-06 23:03:25,456 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/throw_catch.cc' 2024-03-06 23:03:25,457 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/throw_invoke_test.cc' 2024-03-06 23:03:25,458 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/time_interceptor.cc' 2024-03-06 23:03:25,459 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/uar_and_exceptions.cc' 2024-03-06 23:03:25,460 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/unaligned_loads_and_stores.cc' 2024-03-06 23:03:25,461 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-delete.cc' 2024-03-06 23:03:25,462 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free-right.cc' 2024-03-06 23:03:25,463 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free.cc' 2024-03-06 23:03:25,464 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-poison.cc' 2024-03-06 23:03:25,471 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-dtor-order.cc' 2024-03-06 23:03:25,472 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-inlined.cc' 2024-03-06 23:03:25,473 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-nobug.cc' 2024-03-06 23:03:25,474 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-temp.cc' 2024-03-06 23:03:25,474 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope.cc' 2024-03-06 23:03:25,475 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/verbose-log-path_test.cc' 2024-03-06 23:03:25,476 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/vla_chrome_testcase.cc' 2024-03-06 23:03:25,477 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/vla_condition_overflow.cc' 2024-03-06 23:03:25,478 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/vla_loop_overfow.cc' 2024-03-06 23:03:25,479 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/zero_page_pc.cc' 2024-03-06 23:03:25,480 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Android/coverage-android.cc' 2024-03-06 23:03:25,481 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Android/lit.local.cfg' 2024-03-06 23:03:25,483 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/abort_on_error.cc' 2024-03-06 23:03:25,484 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/address-range-limit.mm' 2024-03-06 23:03:25,484 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/asan_gen_prefixes.cc' 2024-03-06 23:03:25,485 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer-dyld-root-path.cc' 2024-03-06 23:03:25,486 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer.cc' 2024-03-06 23:03:25,487 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/crashlog-stacktraces.c' 2024-03-06 23:03:25,488 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/cstring_literals_regtest.mm' 2024-03-06 23:03:25,489 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dladdr-demangling.cc' 2024-03-06 23:03:25,490 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_reexec.cc' 2024-03-06 23:03:25,491 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_remove.cc' 2024-03-06 23:03:25,492 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/empty-section.cc' 2024-03-06 23:03:25,493 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/interface_symbols_darwin.c' 2024-03-06 23:03:25,494 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/linked-only.cc' 2024-03-06 23:03:25,495 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/lit.local.cfg' 2024-03-06 23:03:25,496 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_set_zone_name-mprotect.cc' 2024-03-06 23:03:25,497 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_zone-protected.cc' 2024-03-06 23:03:25,498 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/mixing-global-constructors.cc' 2024-03-06 23:03:25,499 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/objc-odr.mm' 2024-03-06 23:03:25,500 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/reexec-insert-libraries-env.cc' 2024-03-06 23:03:25,501 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/sandbox-symbolizer.cc' 2024-03-06 23:03:25,502 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-darwin.cc' 2024-03-06 23:03:25,503 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-sandbox.cc' 2024-03-06 23:03:25,504 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/unset-insert-libraries-on-exec.cc' 2024-03-06 23:03:25,505 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/blacklist-extra.cc' 2024-03-06 23:03:25,506 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/echo-env.cc' 2024-03-06 23:03:25,507 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/init-order-atexit-extra.cc' 2024-03-06 23:03:25,508 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra.cc' 2024-03-06 23:03:25,509 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra2.cc' 2024-03-06 23:03:25,510 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist.txt' 2024-03-06 23:03:25,511 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra.cc' 2024-03-06 23:03:25,511 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra2.cc' 2024-03-06 23:03:25,512 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-constexpr-extra.cc' 2024-03-06 23:03:25,513 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-nobug-extra.cc' 2024-03-06 23:03:25,514 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/lit.local.cfg' 2024-03-06 23:03:25,516 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/abort_on_error.cc' 2024-03-06 23:03:25,517 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/activation-options.cc' 2024-03-06 23:03:25,518 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan-asm-stacktrace-test.cc' 2024-03-06 23:03:25,519 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_default_suppressions.cc' 2024-03-06 23:03:25,520 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_dlopen_test.cc' 2024-03-06 23:03:25,521 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_prelink_test.cc' 2024-03-06 23:03:25,521 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-1.cc' 2024-03-06 23:03:25,522 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-2.cc' 2024-03-06 23:03:25,523 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-1.cc' 2024-03-06 23:03:25,524 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-2.cc' 2024-03-06 23:03:25,525 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clang_gcc_abi.cc' 2024-03-06 23:03:25,526 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clone_test.cc' 2024-03-06 23:03:25,527 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/coverage-missing.cc' 2024-03-06 23:03:25,528 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/function-sections-are-bad.cc' 2024-03-06 23:03:25,529 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/globals-gc-sections.cc' 2024-03-06 23:03:25,530 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init-order-dlopen.cc' 2024-03-06 23:03:25,531 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init_fini_sections.cc' 2024-03-06 23:03:25,532 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/initialization-bug-any-order.cc' 2024-03-06 23:03:25,533 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_malloc_test.cc' 2024-03-06 23:03:25,534 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_readdir_r_test.cc' 2024-03-06 23:03:25,535 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_test.cc' 2024-03-06 23:03:25,536 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interface_symbols_linux.c' 2024-03-06 23:03:25,537 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/kernel-area.cc' 2024-03-06 23:03:25,538 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak.cc' 2024-03-06 23:03:25,539 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak_check_segv.cc' 2024-03-06 23:03:25,540 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/lit.local.cfg' 2024-03-06 23:03:25,541 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc-in-qsort.cc' 2024-03-06 23:03:25,542 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc_delete_mismatch.cc' 2024-03-06 23:03:25,543 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/nohugepage_test.cc' 2024-03-06 23:03:25,544 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/odr-violation.cc' 2024-03-06 23:03:25,545 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/overflow-in-qsort.cc' 2024-03-06 23:03:25,546 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/preinit_test.cc' 2024-03-06 23:03:25,546 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/pthread_create_version.cc' 2024-03-06 23:03:25,547 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/ptrace.cc' 2024-03-06 23:03:25,548 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/quarantine_size_mb.cc' 2024-03-06 23:03:25,549 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/read_binary_name_regtest.c' 2024-03-06 23:03:25,550 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/rlimit_mmap_test.cc' 2024-03-06 23:03:25,551 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/shmctl.cc' 2024-03-06 23:03:25,552 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/signal_during_stop_the_world.cc' 2024-03-06 23:03:25,553 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/sized_delete_test.cc' 2024-03-06 23:03:25,554 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-overflow-sigbus.cc' 2024-03-06 23:03:25,555 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-trace-dlclose.cc' 2024-03-06 23:03:25,556 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/static_tls.cc' 2024-03-06 23:03:25,557 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stress_dtls.c' 2024-03-06 23:03:25,558 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/swapcontext_test.cc' 2024-03-06 23:03:25,559 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/syscalls.cc' 2024-03-06 23:03:25,560 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/uar_signals.cc' 2024-03-06 23:03:25,561 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/unpoison_tls.cc' 2024-03-06 23:03:25,562 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/allow_user_segv.cc' 2024-03-06 23:03:25,563 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-bad-path.cc' 2024-03-06 23:03:25,564 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-sanity-test.cc' 2024-03-06 23:03:25,565 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asprintf.cc' 2024-03-06 23:03:25,566 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/assign_large_valloc_to_global.cc' 2024-03-06 23:03:25,567 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/closed-fds.cc' 2024-03-06 23:03:25,568 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-caller-callee.cc' 2024-03-06 23:03:25,569 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-activation.cc' 2024-03-06 23:03:25,570 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-large.cc' 2024-03-06 23:03:25,571 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct.cc' 2024-03-06 23:03:25,572 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork-direct.cc' 2024-03-06 23:03:25,573 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork.cc' 2024-03-06 23:03:25,574 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-maybe-open-file.cc' 2024-03-06 23:03:25,575 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-module-unloaded.cc' 2024-03-06 23:03:25,576 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-sandboxing.cc' 2024-03-06 23:03:25,577 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage.cc' 2024-03-06 23:03:25,578 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/current_allocated_bytes.cc' 2024-03-06 23:03:25,579 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_call_stack.cc' 2024-03-06 23:03:25,580 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_thread_stack.cc' 2024-03-06 23:03:25,581 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/dlclose-test.cc' 2024-03-06 23:03:25,582 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/free_hook_realloc.cc' 2024-03-06 23:03:25,583 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/freopen.cc' 2024-03-06 23:03:25,584 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/gc-test.cc' 2024-03-06 23:03:25,585 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob.cc' 2024-03-06 23:03:25,586 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/init-order-pthread-create.cc' 2024-03-06 23:03:25,587 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/interception-in-shared-lib-test.cc' 2024-03-06 23:03:25,588 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/ioctl.cc' 2024-03-06 23:03:25,589 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/large_allocator_unpoisons_on_free.cc' 2024-03-06 23:03:25,590 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/lit.local.cfg' 2024-03-06 23:03:25,591 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/log_path_fork_test.cc.disabled' 2024-03-06 23:03:25,592 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_test.cc' 2024-03-06 23:03:25,593 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_uaf_test.cc' 2024-03-06 23:03:25,594 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_with_new_from_class.cc' 2024-03-06 23:03:25,595 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/readv.cc' 2024-03-06 23:03:25,595 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/shared-lib-test.cc' 2024-03-06 23:03:25,596 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-overflow.cc' 2024-03-06 23:03:25,597 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-use-after-return.cc' 2024-03-06 23:03:25,598 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/start-deactivated.cc' 2024-03-06 23:03:25,599 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/strerror_r_test.cc' 2024-03-06 23:03:25,600 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/tsd_dtor_leak.cc' 2024-03-06 23:03:25,601 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait.cc' 2024-03-06 23:03:25,602 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait3.cc' 2024-03-06 23:03:25,603 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait4.cc' 2024-03-06 23:03:25,604 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/waitid.cc' 2024-03-06 23:03:25,605 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/aa' 2024-03-06 23:03:25,606 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ab' 2024-03-06 23:03:25,607 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ba' 2024-03-06 23:03:25,609 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/aligned_mallocs.cc' 2024-03-06 23:03:25,610 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/allocators_sanity.cc' 2024-03-06 23:03:25,611 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/beginthreadex.cc' 2024-03-06 23:03:25,612 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bind_io_completion_callback.cc' 2024-03-06 23:03:25,613 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield.cc' 2024-03-06 23:03:25,614 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield_uaf.cc' 2024-03-06 23:03:25,615 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_left_oob.cc' 2024-03-06 23:03:25,616 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_right_oob.cc' 2024-03-06 23:03:25,617 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_uaf.cc' 2024-03-06 23:03:25,618 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/coverage-basic.cc' 2024-03-06 23:03:25,619 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/crt_initializers.cc' 2024-03-06 23:03:25,619 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/default_options.cc' 2024-03-06 23:03:25,620 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/demangled_names.cc' 2024-03-06 23:03:25,621 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_aligned_mallocs.cc' 2024-03-06 23:03:25,622 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_allocators_sanity.cc' 2024-03-06 23:03:25,623 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_and_lib.cc' 2024-03-06 23:03:25,624 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_cerr.cc' 2024-03-06 23:03:25,625 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_control_c.cc' 2024-03-06 23:03:25,626 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_host.cc' 2024-03-06 23:03:25,627 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memchr.cc' 2024-03-06 23:03:25,628 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy.cc' 2024-03-06 23:03:25,629 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy_indirect.cc' 2024-03-06 23:03:25,630 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memset.cc' 2024-03-06 23:03:25,631 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_strlen.cc' 2024-03-06 23:03:25,632 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_large_function.cc' 2024-03-06 23:03:25,633 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_left_oob.cc' 2024-03-06 23:03:25,634 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_uaf.cc' 2024-03-06 23:03:25,635 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_noreturn.cc' 2024-03-06 23:03:25,636 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_null_deref.cc' 2024-03-06 23:03:25,637 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_left_oob.cc' 2024-03-06 23:03:25,638 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_with_dtor_left_oob.cc' 2024-03-06 23:03:25,639 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_poison_unpoison.cc' 2024-03-06 23:03:25,640 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_report_globals_symbolization_at_startup.cc' 2024-03-06 23:03:25,641 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_seh.cc' 2024-03-06 23:03:25,642 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_stack_use_after_return.cc' 2024-03-06 23:03:25,643 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_thread_stack_array_left_oob.cc' 2024-03-06 23:03:25,644 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_free.cc' 2024-03-06 23:03:25,645 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_operator_delete.cc' 2024-03-06 23:03:25,645 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/free_hook_realloc.cc' 2024-03-06 23:03:25,646 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/fuse-lld.cc' 2024-03-06 23:03:25,647 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string.cc' 2024-03-06 23:03:25,648 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string_oob.cc' 2024-03-06 23:03:25,649 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/hello_world.cc' 2024-03-06 23:03:25,650 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_memcpy.cc' 2024-03-06 23:03:25,651 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strdup.cc' 2024-03-06 23:03:25,652 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strlen.cc' 2024-03-06 23:03:25,653 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/iostream_sbo.cc' 2024-03-06 23:03:25,654 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/lit.local.cfg' 2024-03-06 23:03:25,655 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/longjmp.cc' 2024-03-06 23:03:25,656 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_left_oob.cc' 2024-03-06 23:03:25,657 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_right_oob.cc' 2024-03-06 23:03:25,658 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_uaf.cc' 2024-03-06 23:03:25,659 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref.cc' 2024-03-06 23:03:25,660 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref_multiple_dlls.cc' 2024-03-06 23:03:25,661 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/on_error_callback.cc' 2024-03-06 23:03:25,662 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/oom.cc' 2024-03-06 23:03:25,662 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_left_oob.cc' 2024-03-06 23:03:25,663 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_right_oob.cc' 2024-03-06 23:03:25,664 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_uaf.cc' 2024-03-06 23:03:25,665 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_with_dtor_left_oob.cc' 2024-03-06 23:03:25,666 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_delete_wrong_argument.cc' 2024-03-06 23:03:25,667 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_left_oob.cc' 2024-03-06 23:03:25,668 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_right_oob.cc' 2024-03-06 23:03:25,669 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_uaf.cc' 2024-03-06 23:03:25,670 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item.cc' 2024-03-06 23:03:25,671 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item_report.cc' 2024-03-06 23:03:25,672 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_left_oob.cc' 2024-03-06 23:03:25,673 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_right_oob.cc' 2024-03-06 23:03:25,674 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_uaf.cc' 2024-03-06 23:03:25,675 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_after_syminitialize.cc' 2024-03-06 23:03:25,676 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_reload_dll.cc' 2024-03-06 23:03:25,677 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_vs_freelibrary.cc' 2024-03-06 23:03:25,678 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/seh.cc' 2024-03-06 23:03:25,679 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/shadow_mapping_failure.cc' 2024-03-06 23:03:25,680 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_left_oob.cc' 2024-03-06 23:03:25,681 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_right_oob.cc' 2024-03-06 23:03:25,682 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_sanity.cc' 2024-03-06 23:03:25,682 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_use_after_return.cc' 2024-03-06 23:03:25,683 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/symbols_path.cc' 2024-03-06 23:03:25,684 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_simple.cc' 2024-03-06 23:03:25,685 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_left_oob.cc' 2024-03-06 23:03:25,686 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_right_oob.cc' 2024-03-06 23:03:25,687 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_reuse.cc' 2024-03-06 23:03:25,688 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stress.cc' 2024-03-06 23:03:25,689 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_suspended.cc' 2024-03-06 23:03:25,690 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/throw_catch.cc' 2024-03-06 23:03:25,691 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/unsymbolized.cc' 2024-03-06 23:03:25,692 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_realloc.cc' 2024-03-06 23:03:25,693 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_return_linkage.cc' 2024-03-06 23:03:25,694 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/windows_h.cc' 2024-03-06 23:03:25,695 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_heap.cc' 2024-03-06 23:03:25,696 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_stack.cc' 2024-03-06 23:03:25,697 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/Unit/lit.site.cfg.in' 2024-03-06 23:03:25,698 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/android_commands/android_common.py' 2024-03-06 23:03:25,699 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/android_commands/android_compile.py' 2024-03-06 23:03:25,700 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/android_commands/android_run.py' 2024-03-06 23:03:25,702 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/absvdi2_test.c' 2024-03-06 23:03:25,703 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/absvsi2_test.c' 2024-03-06 23:03:25,704 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/absvti2_test.c' 2024-03-06 23:03:25,705 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/adddf3vfp_test.c' 2024-03-06 23:03:25,706 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addsf3vfp_test.c' 2024-03-06 23:03:25,707 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addtf3_test.c' 2024-03-06 23:03:25,708 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addvdi3_test.c' 2024-03-06 23:03:25,709 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addvsi3_test.c' 2024-03-06 23:03:25,710 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addvti3_test.c' 2024-03-06 23:03:25,711 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ashldi3_test.c' 2024-03-06 23:03:25,712 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ashlti3_test.c' 2024-03-06 23:03:25,713 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ashrdi3_test.c' 2024-03-06 23:03:25,715 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ashrti3_test.c' 2024-03-06 23:03:25,716 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/bswapdi2_test.c' 2024-03-06 23:03:25,717 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/bswapsi2_test.c' 2024-03-06 23:03:25,718 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/clear_cache_test.c' 2024-03-06 23:03:25,719 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/clzdi2_test.c' 2024-03-06 23:03:25,720 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/clzsi2_test.c' 2024-03-06 23:03:25,721 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/clzti2_test.c' 2024-03-06 23:03:25,722 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/cmpdi2_test.c' 2024-03-06 23:03:25,723 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/cmpti2_test.c' 2024-03-06 23:03:25,724 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/comparedf2_test.c' 2024-03-06 23:03:25,726 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/comparesf2_test.c' 2024-03-06 23:03:25,727 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ctzdi2_test.c' 2024-03-06 23:03:25,728 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ctzsi2_test.c' 2024-03-06 23:03:25,729 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ctzti2_test.c' 2024-03-06 23:03:25,730 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divdc3_test.c' 2024-03-06 23:03:25,731 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divdf3vfp_test.c' 2024-03-06 23:03:25,732 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divdi3_test.c' 2024-03-06 23:03:25,733 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divmodsi4_test.c' 2024-03-06 23:03:25,734 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divsc3_test.c' 2024-03-06 23:03:25,735 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divsf3vfp_test.c' 2024-03-06 23:03:25,736 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divsi3_test.c' 2024-03-06 23:03:25,737 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divtc3_test.c' 2024-03-06 23:03:25,738 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divtf3_test.c' 2024-03-06 23:03:25,739 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divti3_test.c' 2024-03-06 23:03:25,740 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divxc3_test.c' 2024-03-06 23:03:25,741 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/enable_execute_stack_test.c' 2024-03-06 23:03:25,742 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/endianness.h' 2024-03-06 23:03:25,743 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/eqdf2vfp_test.c' 2024-03-06 23:03:25,744 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/eqsf2vfp_test.c' 2024-03-06 23:03:25,745 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/eqtf2_test.c' 2024-03-06 23:03:25,746 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/extebdsfdf2vfp_test.c' 2024-03-06 23:03:25,747 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/extenddftf2_test.c' 2024-03-06 23:03:25,748 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/extendhfsf2_test.c' 2024-03-06 23:03:25,749 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/extendsftf2_test.c' 2024-03-06 23:03:25,750 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ffsdi2_test.c' 2024-03-06 23:03:25,751 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ffsti2_test.c' 2024-03-06 23:03:25,752 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfdi_test.c' 2024-03-06 23:03:25,753 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfsivfp_test.c' 2024-03-06 23:03:25,754 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfti_test.c' 2024-03-06 23:03:25,754 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfdi_test.c' 2024-03-06 23:03:25,755 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfsivfp_test.c' 2024-03-06 23:03:25,756 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfti_test.c' 2024-03-06 23:03:25,757 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfdi_test.c' 2024-03-06 23:03:25,758 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfsi_test.c' 2024-03-06 23:03:25,759 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfti_test.c' 2024-03-06 23:03:25,760 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfdi_test.c' 2024-03-06 23:03:25,761 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsi_test.c' 2024-03-06 23:03:25,762 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsivfp_test.c' 2024-03-06 23:03:25,763 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfti_test.c' 2024-03-06 23:03:25,764 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfdi_test.c' 2024-03-06 23:03:25,765 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsi_test.c' 2024-03-06 23:03:25,766 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsivfp_test.c' 2024-03-06 23:03:25,767 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfti_test.c' 2024-03-06 23:03:25,768 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfdi_test.c' 2024-03-06 23:03:25,769 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfsi_test.c' 2024-03-06 23:03:25,770 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfti_test.c' 2024-03-06 23:03:25,771 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfdi_test.c' 2024-03-06 23:03:25,772 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfsi_test.c' 2024-03-06 23:03:25,773 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfti_test.c' 2024-03-06 23:03:25,774 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfdi_test.c' 2024-03-06 23:03:25,775 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfti_test.c' 2024-03-06 23:03:25,776 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatdidf_test.c' 2024-03-06 23:03:25,777 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatdisf_test.c' 2024-03-06 23:03:25,778 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatditf_test.c' 2024-03-06 23:03:25,779 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatdixf_test.c' 2024-03-06 23:03:25,780 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatsidfvfp_test.c' 2024-03-06 23:03:25,781 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatsisfvfp_test.c' 2024-03-06 23:03:25,782 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatsitf_test.c' 2024-03-06 23:03:25,783 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floattidf_test.c' 2024-03-06 23:03:25,784 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floattisf_test.c' 2024-03-06 23:03:25,785 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floattixf_test.c' 2024-03-06 23:03:25,787 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatundidf_test.c' 2024-03-06 23:03:25,788 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatundisf_test.c' 2024-03-06 23:03:25,789 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatunditf_test.c' 2024-03-06 23:03:25,790 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatundixf_test.c' 2024-03-06 23:03:25,791 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatunsitf_test.c' 2024-03-06 23:03:25,792 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssidfvfp_test.c' 2024-03-06 23:03:25,792 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssisfvfp_test.c' 2024-03-06 23:03:25,793 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntidf_test.c' 2024-03-06 23:03:25,794 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntisf_test.c' 2024-03-06 23:03:25,796 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntixf_test.c' 2024-03-06 23:03:25,797 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fp_test.h' 2024-03-06 23:03:25,798 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test.c' 2024-03-06 23:03:25,799 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test_helper.cxx' 2024-03-06 23:03:25,800 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gedf2vfp_test.c' 2024-03-06 23:03:25,801 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gesf2vfp_test.c' 2024-03-06 23:03:25,801 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/getf2_test.c' 2024-03-06 23:03:25,802 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gtdf2vfp_test.c' 2024-03-06 23:03:25,803 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gtsf2vfp_test.c' 2024-03-06 23:03:25,804 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gttf2_test.c' 2024-03-06 23:03:25,805 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ledf2vfp_test.c' 2024-03-06 23:03:25,806 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/lesf2vfp_test.c' 2024-03-06 23:03:25,807 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/letf2_test.c' 2024-03-06 23:03:25,808 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/lshrdi3_test.c' 2024-03-06 23:03:25,809 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/lshrti3_test.c' 2024-03-06 23:03:25,810 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ltdf2vfp_test.c' 2024-03-06 23:03:25,811 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ltsf2vfp_test.c' 2024-03-06 23:03:25,812 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/lttf2_test.c' 2024-03-06 23:03:25,813 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/moddi3_test.c' 2024-03-06 23:03:25,814 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/modsi3_test.c' 2024-03-06 23:03:25,815 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/modti3_test.c' 2024-03-06 23:03:25,816 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/muldc3_test.c' 2024-03-06 23:03:25,817 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/muldf3vfp_test.c' 2024-03-06 23:03:25,818 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/muldi3_test.c' 2024-03-06 23:03:25,819 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulodi4_test.c' 2024-03-06 23:03:25,820 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulosi4_test.c' 2024-03-06 23:03:25,821 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/muloti4_test.c' 2024-03-06 23:03:25,823 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulsc3_test.c' 2024-03-06 23:03:25,824 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulsf3vfp_test.c' 2024-03-06 23:03:25,825 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/multc3_test.c' 2024-03-06 23:03:25,826 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/multf3_test.c' 2024-03-06 23:03:25,827 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/multi3_test.c' 2024-03-06 23:03:25,828 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulvdi3_test.c' 2024-03-06 23:03:25,829 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulvsi3_test.c' 2024-03-06 23:03:25,830 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulvti3_test.c' 2024-03-06 23:03:25,831 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulxc3_test.c' 2024-03-06 23:03:25,832 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/nedf2vfp_test.c' 2024-03-06 23:03:25,833 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negdf2vfp_test.c' 2024-03-06 23:03:25,834 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negdi2_test.c' 2024-03-06 23:03:25,835 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negsf2vfp_test.c' 2024-03-06 23:03:25,836 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negti2_test.c' 2024-03-06 23:03:25,837 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negvdi2_test.c' 2024-03-06 23:03:25,838 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negvsi2_test.c' 2024-03-06 23:03:25,839 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negvti2_test.c' 2024-03-06 23:03:25,840 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/nesf2vfp_test.c' 2024-03-06 23:03:25,841 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/netf2_test.c' 2024-03-06 23:03:25,842 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/paritydi2_test.c' 2024-03-06 23:03:25,843 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/paritysi2_test.c' 2024-03-06 23:03:25,844 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/parityti2_test.c' 2024-03-06 23:03:25,845 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/popcountdi2_test.c' 2024-03-06 23:03:25,846 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/popcountsi2_test.c' 2024-03-06 23:03:25,847 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/popcountti2_test.c' 2024-03-06 23:03:25,847 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/powidf2_test.c' 2024-03-06 23:03:25,849 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/powisf2_test.c' 2024-03-06 23:03:25,850 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/powitf2_test.c' 2024-03-06 23:03:25,851 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/powixf2_test.c' 2024-03-06 23:03:25,852 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subdf3vfp_test.c' 2024-03-06 23:03:25,853 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subsf3vfp_test.c' 2024-03-06 23:03:25,854 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subtf3_test.c' 2024-03-06 23:03:25,855 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subvdi3_test.c' 2024-03-06 23:03:25,856 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subvsi3_test.c' 2024-03-06 23:03:25,857 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subvti3_test.c' 2024-03-06 23:03:25,858 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/test' 2024-03-06 23:03:25,859 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/trampoline_setup_test.c' 2024-03-06 23:03:25,860 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfhf2_test.c' 2024-03-06 23:03:25,860 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2_test.c' 2024-03-06 23:03:25,861 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2vfp_test.c' 2024-03-06 23:03:25,862 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/truncsfhf2_test.c' 2024-03-06 23:03:25,863 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfdf2_test.c' 2024-03-06 23:03:25,864 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfsf2_test.c' 2024-03-06 23:03:25,865 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpdi2_test.c' 2024-03-06 23:03:25,866 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpti2_test.c' 2024-03-06 23:03:25,867 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivdi3_test.c' 2024-03-06 23:03:25,873 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivmoddi4_test.c' 2024-03-06 23:03:25,901 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodsi4_test.c' 2024-03-06 23:03:25,942 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodti4_test.c' 2024-03-06 23:03:26,165 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivsi3_test.c' 2024-03-06 23:03:26,166 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivti3_test.c' 2024-03-06 23:03:26,167 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/umoddi3_test.c' 2024-03-06 23:03:26,168 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/umodsi3_test.c' 2024-03-06 23:03:26,169 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/umodti3_test.c' 2024-03-06 23:03:26,170 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/unorddf2vfp_test.c' 2024-03-06 23:03:26,171 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/unordsf2vfp_test.c' 2024-03-06 23:03:26,172 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/unordtf2_test.c' 2024-03-06 23:03:26,173 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmpeq_test.c' 2024-03-06 23:03:26,174 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmple_test.c' 2024-03-06 23:03:26,175 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmpeq_test.c' 2024-03-06 23:03:26,176 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmple_test.c' 2024-03-06 23:03:26,177 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_drsub_test.c' 2024-03-06 23:03:26,178 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_frsub_test.c' 2024-03-06 23:03:26,179 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.S' 2024-03-06 23:03:26,180 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.h' 2024-03-06 23:03:26,181 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/DD.h' 2024-03-06 23:03:26,182 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/fixtfdi_test.c' 2024-03-06 23:03:26,184 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.c' 2024-03-06 23:03:26,191 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.h' 2024-03-06 23:03:26,224 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.c' 2024-03-06 23:03:26,228 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.h' 2024-03-06 23:03:26,245 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qadd_test.c' 2024-03-06 23:03:26,250 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qdiv_test.c' 2024-03-06 23:03:26,252 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qmul_test.c' 2024-03-06 23:03:26,255 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qsub_test.c' 2024-03-06 23:03:26,259 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/test' 2024-03-06 23:03:26,260 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/ashldi3.c' 2024-03-06 23:03:26,261 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/ashrdi3.c' 2024-03-06 23:03:26,262 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/divdi3.c' 2024-03-06 23:03:26,263 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatdidf.c' 2024-03-06 23:03:26,264 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatdisf.c' 2024-03-06 23:03:26,265 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatdixf.c' 2024-03-06 23:03:26,266 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatundidf.c' 2024-03-06 23:03:26,267 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatundisf.c' 2024-03-06 23:03:26,268 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatundixf.c' 2024-03-06 23:03:26,269 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/lshrdi3.c' 2024-03-06 23:03:26,270 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/moddi3.c' 2024-03-06 23:03:26,271 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/modsi3.c' 2024-03-06 23:03:26,271 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/muldi3.c' 2024-03-06 23:03:26,272 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/negdi2.c' 2024-03-06 23:03:26,273 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/time' 2024-03-06 23:03:26,274 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/timing.h' 2024-03-06 23:03:26,275 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/udivdi3.c' 2024-03-06 23:03:26,276 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/umoddi3.c' 2024-03-06 23:03:26,277 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/CMakeLists.txt' 2024-03-06 23:03:26,278 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/README.txt' 2024-03-06 23:03:26,279 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/anon-namespace.cpp' 2024-03-06 23:03:26,280 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/bad-cast.cpp' 2024-03-06 23:03:26,281 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/base-derived-destructor.cpp' 2024-03-06 23:03:26,282 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/create-derivers.test' 2024-03-06 23:03:26,283 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/lit.cfg' 2024-03-06 23:03:26,284 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/lit.site.cfg.in' 2024-03-06 23:03:26,285 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/multiple-inheritance.cpp' 2024-03-06 23:03:26,286 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/nvcall.cpp' 2024-03-06 23:03:26,287 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/overwrite.cpp' 2024-03-06 23:03:26,288 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/sibling.cpp' 2024-03-06 23:03:26,289 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/simple-fail.cpp' 2024-03-06 23:03:26,290 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/simple-pass.cpp' 2024-03-06 23:03:26,291 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/utils.h' 2024-03-06 23:03:26,292 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/vdtor.cpp' 2024-03-06 23:03:26,293 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/icall/bad-signature.c' 2024-03-06 23:03:26,294 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/icall/external-call.c' 2024-03-06 23:03:26,295 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/icall/lit.local.cfg' 2024-03-06 23:03:26,296 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/CMakeLists.txt' 2024-03-06 23:03:26,297 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/basic.c' 2024-03-06 23:03:26,298 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/custom.cc' 2024-03-06 23:03:26,299 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/dump_labels.c' 2024-03-06 23:03:26,300 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/flags.c' 2024-03-06 23:03:26,301 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/fncall.c' 2024-03-06 23:03:26,302 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/label_count.c' 2024-03-06 23:03:26,303 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/lit.cfg' 2024-03-06 23:03:26,304 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/lit.site.cfg.in' 2024-03-06 23:03:26,305 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/propagate.c' 2024-03-06 23:03:26,306 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/vararg.c' 2024-03-06 23:03:26,307 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/write_callback.c' 2024-03-06 23:03:26,308 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/Inputs/flags_abilist.txt' 2024-03-06 23:03:26,309 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/CMakeLists.txt' 2024-03-06 23:03:26,310 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/lit.common.cfg' 2024-03-06 23:03:26,311 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/lit.site.cfg.in' 2024-03-06 23:03:26,312 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/cleanup_in_tsd_destructor.cc' 2024-03-06 23:03:26,313 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler.cc' 2024-03-06 23:03:26,314 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler_in_tsd_destructor.cc' 2024-03-06 23:03:26,315 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/do_leak_check_override.cc' 2024-03-06 23:03:26,316 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/fork.cc' 2024-03-06 23:03:26,317 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/fork_threaded.cc' 2024-03-06 23:03:26,318 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/high_allocator_contention.cc' 2024-03-06 23:03:26,319 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object.cc' 2024-03-06 23:03:26,320 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object_errors.cc' 2024-03-06 23:03:26,321 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/large_allocation_leak.cc' 2024-03-06 23:03:26,322 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_at_exit.cc' 2024-03-06 23:03:26,322 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_before_thread_started.cc' 2024-03-06 23:03:26,323 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/link_turned_off.cc' 2024-03-06 23:03:26,324 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/new_array_with_dtor_0.cc' 2024-03-06 23:03:26,325 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/pointer_to_self.cc' 2024-03-06 23:03:26,326 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/print_suppressions.cc' 2024-03-06 23:03:26,327 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/recoverable_leak_check.cc' 2024-03-06 23:03:26,328 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/register_root_region.cc' 2024-03-06 23:03:26,329 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/sanity_check_pure_c.c' 2024-03-06 23:03:26,330 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/stale_stack_leak.cc' 2024-03-06 23:03:26,331 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_default.cc' 2024-03-06 23:03:26,332 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_file.cc' 2024-03-06 23:03:26,333 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/swapcontext.cc' 2024-03-06 23:03:26,334 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_after_return.cc' 2024-03-06 23:03:26,335 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_initialized.cc' 2024-03-06 23:03:26,336 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_uninitialized.cc' 2024-03-06 23:03:26,337 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_poisoned_asan.cc' 2024-03-06 23:03:26,338 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_registers.cc' 2024-03-06 23:03:26,339 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks.cc' 2024-03-06 23:03:26,340 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks_threaded.cc' 2024-03-06 23:03:26,340 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_dynamic.cc' 2024-03-06 23:03:26,341 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_dynamic.cc' 2024-03-06 23:03:26,342 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_static.cc' 2024-03-06 23:03:26,343 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_static.cc' 2024-03-06 23:03:26,344 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_unaligned.cc' 2024-03-06 23:03:26,346 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/CMakeLists.txt' 2024-03-06 23:03:26,347 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/allocator_mapping.cc' 2024-03-06 23:03:26,348 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/allocator_returns_null.cc' 2024-03-06 23:03:26,349 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/backtrace.cc' 2024-03-06 23:03:26,350 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/c-strdup.c' 2024-03-06 23:03:26,351 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin.cc' 2024-03-06 23:03:26,352 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin_empty_stack.cc' 2024-03-06 23:03:26,353 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin_limits.cc' 2024-03-06 23:03:26,354 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin_memcpy.cc' 2024-03-06 23:03:26,355 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin_with_signals.cc' 2024-03-06 23:03:26,356 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/check_mem_is_initialized.cc' 2024-03-06 23:03:26,357 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/coverage-levels.cc' 2024-03-06 23:03:26,358 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/cxa_atexit.cc' 2024-03-06 23:03:26,358 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/death-callback.cc' 2024-03-06 23:03:26,359 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/default_blacklist.cc' 2024-03-06 23:03:26,360 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dlerror.cc' 2024-03-06 23:03:26,361 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dso-origin.cc' 2024-03-06 23:03:26,362 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtls_test.c' 2024-03-06 23:03:26,363 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-base-access.cc' 2024-03-06 23:03:26,364 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-bit-fields.cc' 2024-03-06 23:03:26,365 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-derived-class.cc' 2024-03-06 23:03:26,366 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-member.cc' 2024-03-06 23:03:26,367 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance-nontrivial-class-members.cc' 2024-03-06 23:03:26,368 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance.cc' 2024-03-06 23:03:26,369 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-trivial-class-members.cc' 2024-03-06 23:03:26,370 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-trivial.cpp' 2024-03-06 23:03:26,371 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-vtable-multiple-inheritance.cc' 2024-03-06 23:03:26,372 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-vtable.cc' 2024-03-06 23:03:26,373 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/errno.cc' 2024-03-06 23:03:26,374 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/fork.cc' 2024-03-06 23:03:26,375 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/ftime.cc' 2024-03-06 23:03:26,376 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/getaddrinfo-positive.cc' 2024-03-06 23:03:26,377 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/getaddrinfo.cc' 2024-03-06 23:03:26,378 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/getc_unlocked.c' 2024-03-06 23:03:26,378 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/getline.cc' 2024-03-06 23:03:26,379 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/heap-origin.cc' 2024-03-06 23:03:26,380 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/icmp_slt_allones.cc' 2024-03-06 23:03:26,381 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/iconv.cc' 2024-03-06 23:03:26,382 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/if_indextoname.cc' 2024-03-06 23:03:26,383 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/ifaddrs.cc' 2024-03-06 23:03:26,384 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/initgroups.cc' 2024-03-06 23:03:26,385 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/inline.cc' 2024-03-06 23:03:26,386 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/insertvalue_origin.cc' 2024-03-06 23:03:26,387 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/ioctl.cc' 2024-03-06 23:03:26,388 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/ioctl_custom.cc' 2024-03-06 23:03:26,389 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/keep-going-dso.cc' 2024-03-06 23:03:26,390 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/keep-going.cc' 2024-03-06 23:03:26,391 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/lit.cfg' 2024-03-06 23:03:26,392 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/lit.site.cfg.in' 2024-03-06 23:03:26,393 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/memcmp_test.cc' 2024-03-06 23:03:26,393 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/mktime.cc' 2024-03-06 23:03:26,394 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/mmap.cc' 2024-03-06 23:03:26,395 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/mmap_below_shadow.cc' 2024-03-06 23:03:26,396 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_check_mem_is_initialized.cc' 2024-03-06 23:03:26,397 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_copy_shadow.cc' 2024-03-06 23:03:26,398 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_dump_shadow.cc' 2024-03-06 23:03:26,399 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_print_shadow.cc' 2024-03-06 23:03:26,400 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_print_shadow2.cc' 2024-03-06 23:03:26,401 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_print_shadow3.cc' 2024-03-06 23:03:26,402 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/mul_by_const.cc' 2024-03-06 23:03:26,403 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory.cc' 2024-03-06 23:03:26,404 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory_prop.cc' 2024-03-06 23:03:26,405 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/origin-store-long.cc' 2024-03-06 23:03:26,406 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/param_tls_limit.cc' 2024-03-06 23:03:26,407 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/poison_in_free.cc' 2024-03-06 23:03:26,408 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/print_stats.cc' 2024-03-06 23:03:26,409 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/pthread_getattr_np_deadlock.cc' 2024-03-06 23:03:26,410 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/pthread_setcancelstate.cc' 2024-03-06 23:03:26,411 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/rand_r.cc' 2024-03-06 23:03:26,411 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/readdir64.cc' 2024-03-06 23:03:26,412 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/realloc-large-origin.cc' 2024-03-06 23:03:26,413 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/realloc-origin.cc' 2024-03-06 23:03:26,414 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/report-demangling.cc' 2024-03-06 23:03:26,415 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir.cc' 2024-03-06 23:03:26,416 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir_null.cc' 2024-03-06 23:03:26,418 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/select.cc' 2024-03-06 23:03:26,419 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/select_float_origin.cc' 2024-03-06 23:03:26,420 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/select_origin.cc' 2024-03-06 23:03:26,421 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/sem_getvalue.cc' 2024-03-06 23:03:26,422 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/setlocale.cc' 2024-03-06 23:03:26,423 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/signal_stress_test.cc' 2024-03-06 23:03:26,424 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/sigwait.cc' 2024-03-06 23:03:26,425 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/sigwaitinfo.cc' 2024-03-06 23:03:26,426 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/stack-origin.cc' 2024-03-06 23:03:26,427 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/stack-origin2.cc' 2024-03-06 23:03:26,428 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/strerror_r-non-gnu.c' 2024-03-06 23:03:26,429 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/strlen_of_shadow.cc' 2024-03-06 23:03:26,430 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/strxfrm.cc' 2024-03-06 23:03:26,431 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/sync_lock_set_and_test.cc' 2024-03-06 23:03:26,431 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/test.h' 2024-03-06 23:03:26,432 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/textdomain.cc' 2024-03-06 23:03:26,433 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/times.cc' 2024-03-06 23:03:26,434 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/tls_reuse.cc' 2024-03-06 23:03:26,435 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/tsearch.cc' 2024-03-06 23:03:26,436 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/tzset.cc' 2024-03-06 23:03:26,437 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/unaligned_read_origin.cc' 2024-03-06 23:03:26,438 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/unpoison_string.cc' 2024-03-06 23:03:26,439 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/use-after-dtor.cc' 2024-03-06 23:03:26,440 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/use-after-free.cc' 2024-03-06 23:03:26,441 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/vector_cvt.cc' 2024-03-06 23:03:26,442 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/vector_select.cc' 2024-03-06 23:03:26,443 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/fopencookie.cc' 2024-03-06 23:03:26,444 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/forkpty.cc' 2024-03-06 23:03:26,445 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/getresid.cc' 2024-03-06 23:03:26,446 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob.cc' 2024-03-06 23:03:26,447 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_altdirfunc.cc' 2024-03-06 23:03:26,448 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_nomatch.cc' 2024-03-06 23:03:26,449 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/ioctl_sound.cc' 2024-03-06 23:03:26,449 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/lit.local.cfg' 2024-03-06 23:03:26,450 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/mallinfo.cc' 2024-03-06 23:03:26,451 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/mincore.cc' 2024-03-06 23:03:26,452 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/obstack.cc' 2024-03-06 23:03:26,453 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/process_vm_readv.cc' 2024-03-06 23:03:26,454 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc.cc' 2024-03-06 23:03:26,455 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_bytes.cc' 2024-03-06 23:03:26,456 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_string.cc' 2024-03-06 23:03:26,457 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/syscalls.cc' 2024-03-06 23:03:26,458 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/tcgetattr.cc' 2024-03-06 23:03:26,459 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/xattr.cc' 2024-03-06 23:03:26,460 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/aa' 2024-03-06 23:03:26,461 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ab' 2024-03-06 23:03:26,462 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ba' 2024-03-06 23:03:26,463 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root/a' 2024-03-06 23:03:26,464 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Unit/lit.site.cfg.in' 2024-03-06 23:03:26,465 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aaa' 2024-03-06 23:03:26,466 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aab' 2024-03-06 23:03:26,467 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir_test_root/bbb' 2024-03-06 23:03:26,468 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/CMakeLists.txt' 2024-03-06 23:03:26,469 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/gcc-flag-compatibility.test' 2024-03-06 23:03:26,470 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-basic.c' 2024-03-06 23:03:26,471 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-dlopen.test' 2024-03-06 23:03:26,472 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-one-shared.test' 2024-03-06 23:03:26,473 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-two-shared.test' 2024-03-06 23:03:26,474 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-then-reset-default.c' 2024-03-06 23:03:26,475 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-with-env.c' 2024-03-06 23:03:26,476 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename.c' 2024-03-06 23:03:26,477 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-reset-counters.c' 2024-03-06 23:03:26,478 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename-then-reset-default.c' 2024-03-06 23:03:26,479 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename.c' 2024-03-06 23:03:26,480 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-without-libc.c' 2024-03-06 23:03:26,481 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-atexit-explicitly.c' 2024-03-06 23:03:26,482 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-only.c' 2024-03-06 23:03:26,482 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-write-file.c' 2024-03-06 23:03:26,483 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/lit.cfg' 2024-03-06 23:03:26,484 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/lit.site.cfg.in' 2024-03-06 23:03:26,485 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/gcc-flag-compatibility.c' 2024-03-06 23:03:26,486 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func.c' 2024-03-06 23:03:26,487 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func2.c' 2024-03-06 23:03:26,488 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-main.c' 2024-03-06 23:03:26,489 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-a.cpp' 2024-03-06 23:03:26,490 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-b.cpp' 2024-03-06 23:03:26,491 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-header.h' 2024-03-06 23:03:26,492 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-main.cpp' 2024-03-06 23:03:26,493 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/CMakeLists.txt' 2024-03-06 23:03:26,494 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/buffer-copy-vla.c' 2024-03-06 23:03:26,495 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/buffer-copy.c' 2024-03-06 23:03:26,496 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/init.c' 2024-03-06 23:03:26,497 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/lit.cfg' 2024-03-06 23:03:26,498 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/lit.site.cfg.in' 2024-03-06 23:03:26,499 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/lto.c' 2024-03-06 23:03:26,500 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/overflow.c' 2024-03-06 23:03:26,501 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/pthread-cleanup.c' 2024-03-06 23:03:26,501 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/pthread.c' 2024-03-06 23:03:26,502 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/utils.h' 2024-03-06 23:03:26,504 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/CMakeLists.txt' 2024-03-06 23:03:26,504 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/lit.common.cfg' 2024-03-06 23:03:26,505 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/lit.site.cfg.in' 2024-03-06 23:03:26,507 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/corelimit.cc' 2024-03-06 23:03:26,508 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/malloc_hook.cc' 2024-03-06 23:03:26,508 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-help.cc' 2024-03-06 23:03:26,509 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-include.cc' 2024-03-06 23:03:26,510 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-invalid.cc' 2024-03-06 23:03:26,511 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/print-stack-trace.cc' 2024-03-06 23:03:26,512 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/pthread_mutexattr_get.cc' 2024-03-06 23:03:26,513 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcasestr.c' 2024-03-06 23:03:26,514 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcspn.c' 2024-03-06 23:03:26,515 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strpbrk.c' 2024-03-06 23:03:26,516 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strspn.c' 2024-03-06 23:03:26,517 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strstr.c' 2024-03-06 23:03:26,518 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/abort_on_error.cc' 2024-03-06 23:03:26,519 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/lit.local.cfg' 2024-03-06 23:03:26,520 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/abort_on_error.cc' 2024-03-06 23:03:26,521 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/aligned_alloc.c' 2024-03-06 23:03:26,522 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/assert.cc' 2024-03-06 23:03:26,523 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/clock_gettime.c' 2024-03-06 23:03:26,524 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/fpe.cc' 2024-03-06 23:03:26,525 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpass.cc' 2024-03-06 23:03:26,526 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpwnam_r_invalid_user.cc' 2024-03-06 23:03:26,527 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/hard_rss_limit_mb_test.cc' 2024-03-06 23:03:26,528 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/lit.local.cfg' 2024-03-06 23:03:26,529 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/mlock_test.cc' 2024-03-06 23:03:26,530 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/open_memstream.cc' 2024-03-06 23:03:26,531 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/ptrace.cc' 2024-03-06 23:03:26,532 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sanitizer_set_death_callback_test.cc' 2024-03-06 23:03:26,533 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sched_getparam.cc' 2024-03-06 23:03:26,534 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sem_init_glibc.cc' 2024-03-06 23:03:26,535 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/signal_segv_handler.cc' 2024-03-06 23:03:26,536 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/soft_rss_limit_mb_test.cc' 2024-03-06 23:03:26,537 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/timerfd.cc' 2024-03-06 23:03:26,538 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/decorate_proc_maps.cc' 2024-03-06 23:03:26,539 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/lit.local.cfg' 2024-03-06 23:03:26,540 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/Unit/lit.site.cfg.in' 2024-03-06 23:03:26,542 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/CMakeLists.txt' 2024-03-06 23:03:26,543 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/aligned_vs_unaligned_race.cc' 2024-03-06 23:03:26,544 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/allocator_returns_null.cc' 2024-03-06 23:03:26,545 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/annotate_happens_before.cc' 2024-03-06 23:03:26,546 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atexit.cc' 2024-03-06 23:03:26,547 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atexit2.cc' 2024-03-06 23:03:26,548 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_free.cc' 2024-03-06 23:03:26,549 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_free2.cc' 2024-03-06 23:03:26,550 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_free3.cc' 2024-03-06 23:03:26,551 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_norace.cc' 2024-03-06 23:03:26,552 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_race.cc' 2024-03-06 23:03:26,553 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_stack.cc' 2024-03-06 23:03:26,554 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/barrier.cc' 2024-03-06 23:03:26,555 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench.h' 2024-03-06 23:03:26,556 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_acquire_only.cc' 2024-03-06 23:03:26,556 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_acquire_release.cc' 2024-03-06 23:03:26,557 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_local_mutex.cc' 2024-03-06 23:03:26,558 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_mutex.cc' 2024-03-06 23:03:26,559 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_release_only.cc' 2024-03-06 23:03:26,560 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_rwmutex.cc' 2024-03-06 23:03:26,561 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_shadow_flush.cc' 2024-03-06 23:03:26,562 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_single_writer.cc' 2024-03-06 23:03:26,563 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_ten_mutexes.cc' 2024-03-06 23:03:26,564 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/benign_race.cc' 2024-03-06 23:03:26,565 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/blacklist.cc' 2024-03-06 23:03:26,566 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/blacklist2.cc' 2024-03-06 23:03:26,567 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond.c' 2024-03-06 23:03:26,568 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond_cancel.c' 2024-03-06 23:03:26,569 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond_destruction.cc' 2024-03-06 23:03:26,570 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond_race.cc' 2024-03-06 23:03:26,571 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond_version.c' 2024-03-06 23:03:26,572 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/deadlock_detector_stress_test.cc' 2024-03-06 23:03:26,573 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/deep_stack1.cc' 2024-03-06 23:03:26,574 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/default_options.cc' 2024-03-06 23:03:26,575 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/deflake.bash' 2024-03-06 23:03:26,576 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/dl_iterate_phdr.cc' 2024-03-06 23:03:26,577 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/dlclose.cc' 2024-03-06 23:03:26,578 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_close_norace.cc' 2024-03-06 23:03:26,578 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_close_norace2.cc' 2024-03-06 23:03:26,579 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace.cc' 2024-03-06 23:03:26,580 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace2.cc' 2024-03-06 23:03:26,581 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_dup_race.cc' 2024-03-06 23:03:26,582 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_location.cc' 2024-03-06 23:03:26,583 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_pipe_norace.cc' 2024-03-06 23:03:26,584 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_pipe_race.cc' 2024-03-06 23:03:26,585 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_socket_connect_norace.cc' 2024-03-06 23:03:26,586 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_socket_norace.cc' 2024-03-06 23:03:26,587 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_socketpair_norace.cc' 2024-03-06 23:03:26,588 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_stdout_race.cc' 2024-03-06 23:03:26,589 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fork_atexit.cc' 2024-03-06 23:03:26,590 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fork_deadlock.cc' 2024-03-06 23:03:26,591 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded.cc' 2024-03-06 23:03:26,592 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded3.cc' 2024-03-06 23:03:26,593 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/free_race.c' 2024-03-06 23:03:26,594 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/free_race.c.supp' 2024-03-06 23:03:26,595 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/free_race2.c' 2024-03-06 23:03:26,596 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/getline_nohang.cc' 2024-03-06 23:03:26,596 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/global_race.cc' 2024-03-06 23:03:26,597 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/global_race2.cc' 2024-03-06 23:03:26,598 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/global_race3.cc' 2024-03-06 23:03:26,599 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/halt_on_error.cc' 2024-03-06 23:03:26,600 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/heap_race.cc' 2024-03-06 23:03:26,601 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_free.cc' 2024-03-06 23:03:26,602 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc' 2024-03-06 23:03:26,603 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc.supp' 2024-03-06 23:03:26,604 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc' 2024-03-06 23:03:26,605 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc.supp' 2024-03-06 23:03:26,606 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc' 2024-03-06 23:03:26,607 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc.supp' 2024-03-06 23:03:26,608 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc' 2024-03-06 23:03:26,609 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc.supp' 2024-03-06 23:03:26,610 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib_lib.h' 2024-03-06 23:03:26,611 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_malloc.cc' 2024-03-06 23:03:26,611 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_race.cc' 2024-03-06 23:03:26,612 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_sync.cc' 2024-03-06 23:03:26,613 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race.cc' 2024-03-06 23:03:26,614 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race2.cc' 2024-03-06 23:03:26,615 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/interface_atomic_test.c' 2024-03-06 23:03:26,616 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java.h' 2024-03-06 23:03:26,617 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_alloc.cc' 2024-03-06 23:03:26,618 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_finalizer.cc' 2024-03-06 23:03:26,619 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_heap_init.cc' 2024-03-06 23:03:26,620 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_lock.cc' 2024-03-06 23:03:26,621 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_lock_move.cc' 2024-03-06 23:03:26,622 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_lock_rec.cc' 2024-03-06 23:03:26,623 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_lock_rec_race.cc' 2024-03-06 23:03:26,624 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_move_overlap.cc' 2024-03-06 23:03:26,625 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_move_overlap_race.cc' 2024-03-06 23:03:26,626 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_race.cc' 2024-03-06 23:03:26,627 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_race_move.cc' 2024-03-06 23:03:26,628 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_race_pc.cc' 2024-03-06 23:03:26,629 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_rwlock.cc' 2024-03-06 23:03:26,630 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_symbolization.cc' 2024-03-06 23:03:26,631 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_volatile.cc' 2024-03-06 23:03:26,632 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/large_malloc_meta.cc' 2024-03-06 23:03:26,632 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/lit.cfg' 2024-03-06 23:03:26,633 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/lit.site.cfg.in' 2024-03-06 23:03:26,634 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/load_shared_lib.cc' 2024-03-06 23:03:26,635 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/longjmp.cc' 2024-03-06 23:03:26,636 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/longjmp2.cc' 2024-03-06 23:03:26,637 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/longjmp3.cc' 2024-03-06 23:03:26,638 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/longjmp4.cc' 2024-03-06 23:03:26,639 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/malloc_overflow.cc' 2024-03-06 23:03:26,640 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/malloc_stack.cc' 2024-03-06 23:03:26,641 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/map32bit.cc' 2024-03-06 23:03:26,642 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/memcmp_race.cc' 2024-03-06 23:03:26,643 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/memcpy_race.cc' 2024-03-06 23:03:26,644 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mmap_large.cc' 2024-03-06 23:03:26,645 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mmap_stress.cc' 2024-03-06 23:03:26,646 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mop1.c' 2024-03-06 23:03:26,647 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mop_with_offset.cc' 2024-03-06 23:03:26,648 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mop_with_offset2.cc' 2024-03-06 23:03:26,649 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/must_deadlock.cc' 2024-03-06 23:03:26,650 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_lock.cc' 2024-03-06 23:03:26,650 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_unlock.cc' 2024-03-06 23:03:26,651 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_bad_unlock.cc' 2024-03-06 23:03:26,652 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_cycle2.c' 2024-03-06 23:03:26,653 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_destroy_locked.cc' 2024-03-06 23:03:26,654 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_double_lock.cc' 2024-03-06 23:03:26,655 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset1.cc' 2024-03-06 23:03:26,656 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset2.cc' 2024-03-06 23:03:26,657 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset3.cc' 2024-03-06 23:03:26,658 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset4.cc' 2024-03-06 23:03:26,659 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset5.cc' 2024-03-06 23:03:26,660 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset6.cc' 2024-03-06 23:03:26,661 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset7.cc' 2024-03-06 23:03:26,662 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset8.cc' 2024-03-06 23:03:26,663 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/printf-1.c' 2024-03-06 23:03:26,664 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/pthread_atfork_deadlock.c' 2024-03-06 23:03:26,665 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_barrier.c' 2024-03-06 23:03:26,666 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_barrier2.c' 2024-03-06 23:03:26,667 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_heap.cc' 2024-03-06 23:03:26,668 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_mutex.c' 2024-03-06 23:03:26,668 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_mutex2.c' 2024-03-06 23:03:26,669 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_puts.cc' 2024-03-06 23:03:26,670 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_read.cc' 2024-03-06 23:03:26,671 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_speculative_load.cc' 2024-03-06 23:03:26,672 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_write.cc' 2024-03-06 23:03:26,673 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_stress.cc' 2024-03-06 23:03:26,674 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_top_suppression.cc' 2024-03-06 23:03:26,675 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_top_suppression1.cc' 2024-03-06 23:03:26,676 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_with_finished_thread.cc' 2024-03-06 23:03:26,677 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/real_deadlock_detector_stress_test.cc' 2024-03-06 23:03:26,678 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/restore_stack.cc' 2024-03-06 23:03:26,679 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/setuid.c' 2024-03-06 23:03:26,680 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/setuid2.c' 2024-03-06 23:03:26,681 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_cond.cc' 2024-03-06 23:03:26,682 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_errno.cc' 2024-03-06 23:03:26,683 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_longjmp.cc' 2024-03-06 23:03:26,684 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_malloc.cc' 2024-03-06 23:03:26,685 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_recursive.cc' 2024-03-06 23:03:26,686 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_reset.cc' 2024-03-06 23:03:26,687 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_sync.cc' 2024-03-06 23:03:26,687 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_thread.cc' 2024-03-06 23:03:26,688 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_write.cc' 2024-03-06 23:03:26,689 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/sigsuspend.cc' 2024-03-06 23:03:26,690 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/simple_race.c' 2024-03-06 23:03:26,691 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/simple_race.cc' 2024-03-06 23:03:26,692 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/simple_stack.c' 2024-03-06 23:03:26,693 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/simple_stack2.cc' 2024-03-06 23:03:26,694 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/sleep_sync.cc' 2024-03-06 23:03:26,695 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/sleep_sync2.cc' 2024-03-06 23:03:26,696 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/stack_race.cc' 2024-03-06 23:03:26,697 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/stack_race2.cc' 2024-03-06 23:03:26,698 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/stack_sync_reuse.cc' 2024-03-06 23:03:26,699 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init1.cc' 2024-03-06 23:03:26,700 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init2.cc' 2024-03-06 23:03:26,701 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init3.cc' 2024-03-06 23:03:26,702 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init4.cc' 2024-03-06 23:03:26,703 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init5.cc' 2024-03-06 23:03:26,704 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init6.cc' 2024-03-06 23:03:26,704 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/sunrpc.cc' 2024-03-06 23:03:26,705 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppress_same_address.cc' 2024-03-06 23:03:26,706 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppress_same_stacks.cc' 2024-03-06 23:03:26,707 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc' 2024-03-06 23:03:26,708 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc.supp' 2024-03-06 23:03:26,709 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc' 2024-03-06 23:03:26,710 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc.supp' 2024-03-06 23:03:26,711 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc' 2024-03-06 23:03:26,712 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc.supp' 2024-03-06 23:03:26,713 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/test.h' 2024-03-06 23:03:26,714 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/test_output.sh' 2024-03-06 23:03:26,715 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_detach.c' 2024-03-06 23:03:26,716 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_detach2.c' 2024-03-06 23:03:26,717 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore.cc' 2024-03-06 23:03:26,718 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore2.cc' 2024-03-06 23:03:26,719 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore3.cc' 2024-03-06 23:03:26,720 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak.c' 2024-03-06 23:03:26,720 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak2.c' 2024-03-06 23:03:26,721 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak3.c' 2024-03-06 23:03:26,722 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak4.c' 2024-03-06 23:03:26,723 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak5.c' 2024-03-06 23:03:26,724 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_name.cc' 2024-03-06 23:03:26,725 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_name2.cc' 2024-03-06 23:03:26,726 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/tiny_race.c' 2024-03-06 23:03:26,727 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/tls_race.cc' 2024-03-06 23:03:26,728 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/tls_race2.cc' 2024-03-06 23:03:26,729 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/tsan-vs-gvn.cc' 2024-03-06 23:03:26,730 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/unaligned_norace.cc' 2024-03-06 23:03:26,731 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/unaligned_race.cc' 2024-03-06 23:03:26,732 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vfork.cc' 2024-03-06 23:03:26,733 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/virtual_inheritance_compile_bug.cc' 2024-03-06 23:03:26,734 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_benign_race.cc' 2024-03-06 23:03:26,735 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race.cc' 2024-03-06 23:03:26,736 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race2.cc' 2024-03-06 23:03:26,737 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race3.cc' 2024-03-06 23:03:26,737 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race4.cc' 2024-03-06 23:03:26,738 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/write_in_reader_lock.cc' 2024-03-06 23:03:26,739 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/lit.local.cfg' 2024-03-06 23:03:26,740 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust.cc' 2024-03-06 23:03:26,741 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust2.cc' 2024-03-06 23:03:26,742 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/user_fopen.cc' 2024-03-06 23:03:26,743 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/user_malloc.cc' 2024-03-06 23:03:26,745 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Unit/lit.site.cfg.in' 2024-03-06 23:03:26,746 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/libcxx/lit.local.cfg' 2024-03-06 23:03:26,747 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/libcxx/std_shared_ptr.cc' 2024-03-06 23:03:26,748 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/CMakeLists.txt' 2024-03-06 23:03:26,749 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/lit.common.cfg' 2024-03-06 23:03:26,750 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/lit.site.cfg.in' 2024-03-06 23:03:26,751 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float/cast-overflow.cpp' 2024-03-06 23:03:26,753 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/add-overflow.cpp' 2024-03-06 23:03:26,754 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-overflow.cpp' 2024-03-06 23:03:26,755 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-zero.cpp' 2024-03-06 23:03:26,756 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/incdec-overflow.cpp' 2024-03-06 23:03:26,757 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/mul-overflow.cpp' 2024-03-06 23:03:26,758 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/negate-overflow.cpp' 2024-03-06 23:03:26,758 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/no-recover.cpp' 2024-03-06 23:03:26,759 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/shift.cpp' 2024-03-06 23:03:26,760 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/sub-overflow.cpp' 2024-03-06 23:03:26,761 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/summary.cpp' 2024-03-06 23:03:26,762 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uadd-overflow.cpp' 2024-03-06 23:03:26,763 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uincdec-overflow.cpp' 2024-03-06 23:03:26,764 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/umul-overflow.cpp' 2024-03-06 23:03:26,765 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/usub-overflow.cpp' 2024-03-06 23:03:26,766 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bool.cpp' 2024-03-06 23:03:26,767 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bounds.cpp' 2024-03-06 23:03:26,768 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/coverage-levels.cc' 2024-03-06 23:03:26,769 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/deduplication.cpp' 2024-03-06 23:03:26,770 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/enum.cpp' 2024-03-06 23:03:26,771 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/log-path_test.cc' 2024-03-06 23:03:26,772 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/missing_return.cpp' 2024-03-06 23:03:26,773 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull-arg.cpp' 2024-03-06 23:03:26,774 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull.cpp' 2024-03-06 23:03:26,775 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/unreachable.cpp' 2024-03-06 23:03:26,776 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/vla.c' 2024-03-06 23:03:26,777 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/lit.local.cfg' 2024-03-06 23:03:26,778 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/ubsan_options.cc' 2024-03-06 23:03:26,779 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/misaligned.cpp' 2024-03-06 23:03:26,780 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/null.cpp' 2024-03-06 23:03:26,781 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base-construction.cpp' 2024-03-06 23:03:26,782 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base.cpp' 2024-03-06 23:03:26,783 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr.cpp' 2024-03-06 23:03:26,784 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/function.cpp' 2024-03-06 23:03:26,785 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/lit.local.cfg' 2024-03-06 23:03:26,786 wheel INFO adding 'pythondata_software_compiler_rt/data/unittests/CMakeLists.txt' 2024-03-06 23:03:26,787 wheel INFO adding 'pythondata_software_compiler_rt/data/unittests/lit.common.unit.cfg' 2024-03-06 23:03:26,788 wheel INFO adding 'pythondata_software_compiler_rt/data/unittests/lit.common.unit.configured.in' 2024-03-06 23:03:26,789 wheel INFO adding 'pythondata_software_compiler_rt/data/www/content.css' 2024-03-06 23:03:26,790 wheel INFO adding 'pythondata_software_compiler_rt/data/www/index.html' 2024-03-06 23:03:26,791 wheel INFO adding 'pythondata_software_compiler_rt/data/www/menu.css' 2024-03-06 23:03:26,792 wheel INFO adding 'pythondata_software_compiler_rt/data/www/menu.html.incl' 2024-03-06 23:03:26,794 wheel INFO adding 'pythondata_software_compiler_rt-0.0.post6189.dist-info/METADATA' 2024-03-06 23:03:26,795 wheel INFO adding 'pythondata_software_compiler_rt-0.0.post6189.dist-info/WHEEL' 2024-03-06 23:03:26,795 wheel INFO adding 'pythondata_software_compiler_rt-0.0.post6189.dist-info/top_level.txt' 2024-03-06 23:03:26,860 wheel INFO adding 'pythondata_software_compiler_rt-0.0.post6189.dist-info/RECORD' 2024-03-06 23:03:26,911 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:03:27,012 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_software_compiler_rt-0.0.post6189-py3-none-any.whl pythondata_software_compiler_rt-0.0.post6189-py3-none-any.whl --> Building LiteX Hub module pythondata-software-picolibc 2024-03-06 23:03:28,158 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:03:28,436 root INFO running bdist_wheel 2024-03-06 23:03:28,519 root INFO running build 2024-03-06 23:03:28,520 root INFO running build_py 2024-03-06 23:03:28,532 root INFO creating build 2024-03-06 23:03:28,532 root INFO creating build/lib 2024-03-06 23:03:28,533 root INFO creating build/lib/pythondata_software_picolibc 2024-03-06 23:03:28,533 root INFO copying pythondata_software_picolibc/__init__.py -> build/lib/pythondata_software_picolibc 2024-03-06 23:03:28,547 root INFO creating build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:28,547 root INFO creating build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:28,548 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/doc 2024-03-06 23:03:28,548 root INFO copying pythondata_software_picolibc/data/newlib/doc/makedocbook.py -> build/lib/pythondata_software_picolibc/data/newlib/doc 2024-03-06 23:03:28,549 root INFO copying pythondata_software_picolibc/data/newlib/doc/chapter-texi2docbook.py -> build/lib/pythondata_software_picolibc/data/newlib/doc 2024-03-06 23:03:28,644 root INFO running egg_info 2024-03-06 23:03:28,644 root INFO creating pythondata_software_picolibc.egg-info 2024-03-06 23:03:28,652 root INFO writing pythondata_software_picolibc.egg-info/PKG-INFO 2024-03-06 23:03:28,655 root INFO writing dependency_links to pythondata_software_picolibc.egg-info/dependency_links.txt 2024-03-06 23:03:28,657 root INFO writing top-level names to pythondata_software_picolibc.egg-info/top_level.txt 2024-03-06 23:03:28,657 root INFO writing manifest file 'pythondata_software_picolibc.egg-info/SOURCES.txt' 2024-03-06 23:03:28,852 root INFO reading manifest file 'pythondata_software_picolibc.egg-info/SOURCES.txt' 2024-03-06 23:03:28,854 root INFO reading manifest template 'MANIFEST.in' 2024-03-06 23:03:29,103 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-03-06 23:03:29,103 root INFO adding license file 'LICENSE' 2024-03-06 23:03:29,371 root INFO writing manifest file 'pythondata_software_picolibc.egg-info/SOURCES.txt' 2024-03-06 23:03:29,977 root INFO copying pythondata_software_picolibc/data/.clang-format -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,978 root INFO copying pythondata_software_picolibc/data/.editorconfig -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,979 root INFO copying pythondata_software_picolibc/data/.gitattributes -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,980 root INFO copying pythondata_software_picolibc/data/.gitignore -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,981 root INFO copying pythondata_software_picolibc/data/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,982 root INFO copying pythondata_software_picolibc/data/CODE_OF_CONDUCT.md -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,983 root INFO copying pythondata_software_picolibc/data/CONTRIBUTING.md -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,984 root INFO copying pythondata_software_picolibc/data/COPYING.GPL2 -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,984 root INFO copying pythondata_software_picolibc/data/COPYING.NEWLIB -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,986 root INFO copying pythondata_software_picolibc/data/COPYING.picolibc -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,987 root INFO copying pythondata_software_picolibc/data/README.md -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,988 root INFO copying pythondata_software_picolibc/data/cross.tmpl -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,989 root INFO copying pythondata_software_picolibc/data/find-copyright -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,990 root INFO copying pythondata_software_picolibc/data/make-copyrights -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,991 root INFO copying pythondata_software_picolibc/data/meson.build -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,992 root INFO copying pythondata_software_picolibc/data/meson_options.txt -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,993 root INFO copying pythondata_software_picolibc/data/picolibc.h.in -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,994 root INFO copying pythondata_software_picolibc/data/picolibc.ld.in -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,995 root INFO copying pythondata_software_picolibc/data/picolibc.specs.in -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,996 root INFO copying pythondata_software_picolibc/data/test.specs.in -> build/lib/pythondata_software_picolibc/data 2024-03-06 23:03:29,997 root INFO creating build/lib/pythondata_software_picolibc/data/.github 2024-03-06 23:03:29,997 root INFO copying pythondata_software_picolibc/data/.github/CODEOWNERS -> build/lib/pythondata_software_picolibc/data/.github 2024-03-06 23:03:29,998 root INFO copying pythondata_software_picolibc/data/.github/Dockerfile -> build/lib/pythondata_software_picolibc/data/.github 2024-03-06 23:03:29,999 root INFO copying pythondata_software_picolibc/data/.github/do-build -> build/lib/pythondata_software_picolibc/data/.github 2024-03-06 23:03:30,000 root INFO copying pythondata_software_picolibc/data/.github/do-cmake-test -> build/lib/pythondata_software_picolibc/data/.github 2024-03-06 23:03:30,001 root INFO copying pythondata_software_picolibc/data/.github/do-many -> build/lib/pythondata_software_picolibc/data/.github 2024-03-06 23:03:30,002 root INFO copying pythondata_software_picolibc/data/.github/do-test -> build/lib/pythondata_software_picolibc/data/.github 2024-03-06 23:03:30,003 root INFO copying pythondata_software_picolibc/data/.github/do-zephyr -> build/lib/pythondata_software_picolibc/data/.github 2024-03-06 23:03:30,004 root INFO copying pythondata_software_picolibc/data/.github/do-zephyr-build -> build/lib/pythondata_software_picolibc/data/.github 2024-03-06 23:03:30,005 root INFO copying pythondata_software_picolibc/data/.github/extra-files.txt -> build/lib/pythondata_software_picolibc/data/.github 2024-03-06 23:03:30,006 root INFO copying pythondata_software_picolibc/data/.github/packages.txt -> build/lib/pythondata_software_picolibc/data/.github 2024-03-06 23:03:30,006 root INFO creating build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,007 root INFO copying pythondata_software_picolibc/data/.github/workflows/head -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,008 root INFO copying pythondata_software_picolibc/data/.github/workflows/linux.yml -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,009 root INFO copying pythondata_software_picolibc/data/.github/workflows/macos.yml -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,010 root INFO copying pythondata_software_picolibc/data/.github/workflows/make-workflow -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,011 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-cmake -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,012 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-fortify-source -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,013 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-head -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,013 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-minsize -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,014 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-release -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,015 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-arm -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,016 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-cmake-arm -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,017 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-mips -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,018 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-misc -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,019 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-ppc -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,019 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-riscv -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,020 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-zephyr -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,021 root INFO copying pythondata_software_picolibc/data/.github/workflows/variants -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,022 root INFO copying pythondata_software_picolibc/data/.github/workflows/variants-cmake -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:30,023 root INFO creating build/lib/pythondata_software_picolibc/data/dummyhost 2024-03-06 23:03:30,023 root INFO copying pythondata_software_picolibc/data/dummyhost/iob.c -> build/lib/pythondata_software_picolibc/data/dummyhost 2024-03-06 23:03:30,024 root INFO copying pythondata_software_picolibc/data/dummyhost/meson.build -> build/lib/pythondata_software_picolibc/data/dummyhost 2024-03-06 23:03:30,025 root INFO creating build/lib/pythondata_software_picolibc/data/zephyr 2024-03-06 23:03:30,026 root INFO copying pythondata_software_picolibc/data/zephyr/Kconfig -> build/lib/pythondata_software_picolibc/data/zephyr 2024-03-06 23:03:30,026 root INFO copying pythondata_software_picolibc/data/zephyr/module.yml -> build/lib/pythondata_software_picolibc/data/zephyr 2024-03-06 23:03:30,027 root INFO copying pythondata_software_picolibc/data/zephyr/zephyr.cmake -> build/lib/pythondata_software_picolibc/data/zephyr 2024-03-06 23:03:30,028 root INFO creating build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,029 root INFO copying pythondata_software_picolibc/data/semihost/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,029 root INFO copying pythondata_software_picolibc/data/semihost/close.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,030 root INFO copying pythondata_software_picolibc/data/semihost/exit.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,031 root INFO copying pythondata_software_picolibc/data/semihost/fstat.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,032 root INFO copying pythondata_software_picolibc/data/semihost/getentropy.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,033 root INFO copying pythondata_software_picolibc/data/semihost/gettimeofday.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,034 root INFO copying pythondata_software_picolibc/data/semihost/iob.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,035 root INFO copying pythondata_software_picolibc/data/semihost/isatty.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,036 root INFO copying pythondata_software_picolibc/data/semihost/kill.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,036 root INFO copying pythondata_software_picolibc/data/semihost/lseek.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,037 root INFO copying pythondata_software_picolibc/data/semihost/lseek64.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,038 root INFO copying pythondata_software_picolibc/data/semihost/mapstdio.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,039 root INFO copying pythondata_software_picolibc/data/semihost/meson.build -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,040 root INFO copying pythondata_software_picolibc/data/semihost/open.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,041 root INFO copying pythondata_software_picolibc/data/semihost/read.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,042 root INFO copying pythondata_software_picolibc/data/semihost/semihost-private.h -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,043 root INFO copying pythondata_software_picolibc/data/semihost/semihost.h -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,043 root INFO copying pythondata_software_picolibc/data/semihost/sys_clock.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,044 root INFO copying pythondata_software_picolibc/data/semihost/sys_close.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,045 root INFO copying pythondata_software_picolibc/data/semihost/sys_elapsed.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,046 root INFO copying pythondata_software_picolibc/data/semihost/sys_errno.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,047 root INFO copying pythondata_software_picolibc/data/semihost/sys_exit.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,048 root INFO copying pythondata_software_picolibc/data/semihost/sys_exit_extended.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,049 root INFO copying pythondata_software_picolibc/data/semihost/sys_feature.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,050 root INFO copying pythondata_software_picolibc/data/semihost/sys_flen.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,050 root INFO copying pythondata_software_picolibc/data/semihost/sys_get_cmdline.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,051 root INFO copying pythondata_software_picolibc/data/semihost/sys_getc.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,052 root INFO copying pythondata_software_picolibc/data/semihost/sys_heapinfo.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,053 root INFO copying pythondata_software_picolibc/data/semihost/sys_iserror.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,054 root INFO copying pythondata_software_picolibc/data/semihost/sys_istty.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,055 root INFO copying pythondata_software_picolibc/data/semihost/sys_open.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,056 root INFO copying pythondata_software_picolibc/data/semihost/sys_putc.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,057 root INFO copying pythondata_software_picolibc/data/semihost/sys_read.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,057 root INFO copying pythondata_software_picolibc/data/semihost/sys_remove.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,058 root INFO copying pythondata_software_picolibc/data/semihost/sys_rename.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,059 root INFO copying pythondata_software_picolibc/data/semihost/sys_seek.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,060 root INFO copying pythondata_software_picolibc/data/semihost/sys_system.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,061 root INFO copying pythondata_software_picolibc/data/semihost/sys_tickfreq.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,062 root INFO copying pythondata_software_picolibc/data/semihost/sys_time.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,063 root INFO copying pythondata_software_picolibc/data/semihost/sys_tmpnam.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,064 root INFO copying pythondata_software_picolibc/data/semihost/sys_write.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,064 root INFO copying pythondata_software_picolibc/data/semihost/sys_write0.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,065 root INFO copying pythondata_software_picolibc/data/semihost/unlink.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,066 root INFO copying pythondata_software_picolibc/data/semihost/write.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:30,067 root INFO creating build/lib/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:30,067 root INFO copying pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.cmake -> build/lib/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:30,068 root INFO copying pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.ld -> build/lib/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:30,069 root INFO copying pythondata_software_picolibc/data/cmake/have-alias-attribute.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:30,070 root INFO copying pythondata_software_picolibc/data/cmake/have-alloc-size.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:30,071 root INFO copying pythondata_software_picolibc/data/cmake/have-attribute-always-inline.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:30,072 root INFO copying pythondata_software_picolibc/data/cmake/have-attribute-gnu-inline.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:30,073 root INFO copying pythondata_software_picolibc/data/cmake/have-long-double.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:30,074 root INFO copying pythondata_software_picolibc/data/cmake/ldbl-eq-dbl.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:30,074 root INFO copying pythondata_software_picolibc/data/cmake/picolibc.cmake -> build/lib/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:30,075 root INFO copying pythondata_software_picolibc/data/newlib/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:30,076 root INFO copying pythondata_software_picolibc/data/newlib/ChangeLog -> build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:30,077 root INFO copying pythondata_software_picolibc/data/newlib/ChangeLog-2015 -> build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:30,080 root INFO copying pythondata_software_picolibc/data/newlib/HOWTO -> build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:30,081 root INFO copying pythondata_software_picolibc/data/newlib/MAINTAINERS -> build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:30,082 root INFO copying pythondata_software_picolibc/data/newlib/NEWS -> build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:30,083 root INFO copying pythondata_software_picolibc/data/newlib/README -> build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:30,084 root INFO copying pythondata_software_picolibc/data/newlib/empty.c -> build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:30,085 root INFO copying pythondata_software_picolibc/data/newlib/man.xsl -> build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:30,086 root INFO copying pythondata_software_picolibc/data/newlib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:30,087 root INFO copying pythondata_software_picolibc/data/newlib/newlib.hin -> build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:30,088 root INFO copying pythondata_software_picolibc/data/newlib/refcontainers.xslt -> build/lib/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:30,088 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt 2024-03-06 23:03:30,089 root INFO copying pythondata_software_picolibc/data/picocrt/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/picocrt 2024-03-06 23:03:30,090 root INFO copying pythondata_software_picolibc/data/picocrt/crt0.h -> build/lib/pythondata_software_picolibc/data/picocrt 2024-03-06 23:03:30,091 root INFO copying pythondata_software_picolibc/data/picocrt/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt 2024-03-06 23:03:30,091 root INFO creating build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,092 root INFO copying pythondata_software_picolibc/data/test/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,093 root INFO copying pythondata_software_picolibc/data/test/abort.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,094 root INFO copying pythondata_software_picolibc/data/test/atexit.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,095 root INFO copying pythondata_software_picolibc/data/test/complex-funcs.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,095 root INFO copying pythondata_software_picolibc/data/test/constructor-skip.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,096 root INFO copying pythondata_software_picolibc/data/test/constructor.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,097 root INFO copying pythondata_software_picolibc/data/test/fenv.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,098 root INFO copying pythondata_software_picolibc/data/test/ffs.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,099 root INFO copying pythondata_software_picolibc/data/test/hosted-exit.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,100 root INFO copying pythondata_software_picolibc/data/test/lock-valid.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,101 root INFO copying pythondata_software_picolibc/data/test/malloc.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,102 root INFO copying pythondata_software_picolibc/data/test/malloc_stress.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,102 root INFO copying pythondata_software_picolibc/data/test/math-funcs.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,103 root INFO copying pythondata_software_picolibc/data/test/math_errhandling.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,104 root INFO copying pythondata_software_picolibc/data/test/math_errhandling_tests.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,105 root INFO copying pythondata_software_picolibc/data/test/meson.build -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,106 root INFO copying pythondata_software_picolibc/data/test/on_exit.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,107 root INFO copying pythondata_software_picolibc/data/test/posix-io.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,108 root INFO copying pythondata_software_picolibc/data/test/printf-tests.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,109 root INFO copying pythondata_software_picolibc/data/test/printf_scanf.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,110 root INFO copying pythondata_software_picolibc/data/test/rand.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,111 root INFO copying pythondata_software_picolibc/data/test/regex.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,111 root INFO copying pythondata_software_picolibc/data/test/rounding-mode-sub.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,112 root INFO copying pythondata_software_picolibc/data/test/rounding-mode.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,113 root INFO copying pythondata_software_picolibc/data/test/setjmp.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,114 root INFO copying pythondata_software_picolibc/data/test/stack-smash.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,115 root INFO copying pythondata_software_picolibc/data/test/test-efcvt.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,116 root INFO copying pythondata_software_picolibc/data/test/test-except.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,117 root INFO copying pythondata_software_picolibc/data/test/test-fopen.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,118 root INFO copying pythondata_software_picolibc/data/test/test-memset.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,118 root INFO copying pythondata_software_picolibc/data/test/test-mktemp.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,119 root INFO copying pythondata_software_picolibc/data/test/test-put.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,120 root INFO copying pythondata_software_picolibc/data/test/test-strchr.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,121 root INFO copying pythondata_software_picolibc/data/test/test-strtod.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,122 root INFO copying pythondata_software_picolibc/data/test/testcases.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,123 root INFO copying pythondata_software_picolibc/data/test/time-sprintf.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,124 root INFO copying pythondata_software_picolibc/data/test/time-tests.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,125 root INFO copying pythondata_software_picolibc/data/test/timegm.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,125 root INFO copying pythondata_software_picolibc/data/test/timegm.h -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,127 root INFO copying pythondata_software_picolibc/data/test/tls.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,128 root INFO copying pythondata_software_picolibc/data/test/try-ilp32-sub.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,129 root INFO copying pythondata_software_picolibc/data/test/try-ilp32.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,130 root INFO copying pythondata_software_picolibc/data/test/try-ilp32.h -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,130 root INFO copying pythondata_software_picolibc/data/test/ungetc.c -> build/lib/pythondata_software_picolibc/data/test 2024-03-06 23:03:30,131 root INFO creating build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,132 root INFO copying pythondata_software_picolibc/data/doc/build.md -> build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,133 root INFO copying pythondata_software_picolibc/data/doc/embedsource.md -> build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,134 root INFO copying pythondata_software_picolibc/data/doc/init.md -> build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,134 root INFO copying pythondata_software_picolibc/data/doc/linking.md -> build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,135 root INFO copying pythondata_software_picolibc/data/doc/locking.md -> build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,136 root INFO copying pythondata_software_picolibc/data/doc/os.md -> build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,137 root INFO copying pythondata_software_picolibc/data/doc/picolibc.svg -> build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,138 root INFO copying pythondata_software_picolibc/data/doc/printf.md -> build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,139 root INFO copying pythondata_software_picolibc/data/doc/releasing.md -> build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,140 root INFO copying pythondata_software_picolibc/data/doc/testing.md -> build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,141 root INFO copying pythondata_software_picolibc/data/doc/tls.md -> build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,141 root INFO copying pythondata_software_picolibc/data/doc/using.md -> build/lib/pythondata_software_picolibc/data/doc 2024-03-06 23:03:30,142 root INFO creating build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,143 root INFO copying pythondata_software_picolibc/data/scripts/GeneratePicolibcCrossFile.sh -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,144 root INFO copying pythondata_software_picolibc/data/scripts/cross-aarch64-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,145 root INFO copying pythondata_software_picolibc/data/scripts/cross-aarch64-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,145 root INFO copying pythondata_software_picolibc/data/scripts/cross-arc-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,146 root INFO copying pythondata_software_picolibc/data/scripts/cross-arc64-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,147 root INFO copying pythondata_software_picolibc/data/scripts/cross-arm-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,148 root INFO copying pythondata_software_picolibc/data/scripts/cross-arm-zephyr-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,149 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-msp430.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,150 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-old-riscv64-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,151 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-old-rv32imafdc-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,152 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-riscv64-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,152 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-rv32imafdc-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,153 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-thumbv6m-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,154 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-thumbv7e+fp-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,155 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-thumbv7m-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,156 root INFO copying pythondata_software_picolibc/data/scripts/cross-cortex-a9-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,157 root INFO copying pythondata_software_picolibc/data/scripts/cross-i686-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,158 root INFO copying pythondata_software_picolibc/data/scripts/cross-m68k-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,158 root INFO copying pythondata_software_picolibc/data/scripts/cross-mips-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,159 root INFO copying pythondata_software_picolibc/data/scripts/cross-mipsel-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,160 root INFO copying pythondata_software_picolibc/data/scripts/cross-msp430.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,161 root INFO copying pythondata_software_picolibc/data/scripts/cross-nios2-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,162 root INFO copying pythondata_software_picolibc/data/scripts/cross-old-clang-riscv64-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,163 root INFO copying pythondata_software_picolibc/data/scripts/cross-powerpc64-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,164 root INFO copying pythondata_software_picolibc/data/scripts/cross-powerpc64le-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,164 root INFO copying pythondata_software_picolibc/data/scripts/cross-riscv64-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,165 root INFO copying pythondata_software_picolibc/data/scripts/cross-riscv64-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,166 root INFO copying pythondata_software_picolibc/data/scripts/cross-rv32imac.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,167 root INFO copying pythondata_software_picolibc/data/scripts/cross-rv32imac_zicsr.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,168 root INFO copying pythondata_software_picolibc/data/scripts/cross-sparc64-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,169 root INFO copying pythondata_software_picolibc/data/scripts/cross-x86-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,170 root INFO copying pythondata_software_picolibc/data/scripts/cross-x86_64-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,171 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-esp32-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,171 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,172 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,173 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,174 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,175 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,176 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,177 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,177 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,178 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,179 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,180 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,181 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-lx106-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,182 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,183 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,183 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,184 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,185 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,186 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,187 root INFO copying pythondata_software_picolibc/data/scripts/do-aarch64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,188 root INFO copying pythondata_software_picolibc/data/scripts/do-aarch64-zephyr-elf-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,188 root INFO copying pythondata_software_picolibc/data/scripts/do-arc-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,189 root INFO copying pythondata_software_picolibc/data/scripts/do-arc64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,190 root INFO copying pythondata_software_picolibc/data/scripts/do-arm-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,191 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-arm-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,192 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-msp430-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,193 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-riscv-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,194 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-rv32imafdc-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,195 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-thumbv6m-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,195 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-thumbv7e+fp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,196 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-thumbv7m-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,197 root INFO copying pythondata_software_picolibc/data/scripts/do-cmake-thumbv7m-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,198 root INFO copying pythondata_software_picolibc/data/scripts/do-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,199 root INFO copying pythondata_software_picolibc/data/scripts/do-cortex-a9-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,200 root INFO copying pythondata_software_picolibc/data/scripts/do-esp32-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,201 root INFO copying pythondata_software_picolibc/data/scripts/do-freedom-tools-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,202 root INFO copying pythondata_software_picolibc/data/scripts/do-freedom-tools-package -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,202 root INFO copying pythondata_software_picolibc/data/scripts/do-i386-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,203 root INFO copying pythondata_software_picolibc/data/scripts/do-lx106-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,204 root INFO copying pythondata_software_picolibc/data/scripts/do-m68k-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,205 root INFO copying pythondata_software_picolibc/data/scripts/do-mips-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,206 root INFO copying pythondata_software_picolibc/data/scripts/do-mipsel-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,207 root INFO copying pythondata_software_picolibc/data/scripts/do-msp430-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,208 root INFO copying pythondata_software_picolibc/data/scripts/do-native-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,208 root INFO copying pythondata_software_picolibc/data/scripts/do-nios2-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,209 root INFO copying pythondata_software_picolibc/data/scripts/do-powerpc64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,210 root INFO copying pythondata_software_picolibc/data/scripts/do-powerpc64le-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,211 root INFO copying pythondata_software_picolibc/data/scripts/do-riscv-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,212 root INFO copying pythondata_software_picolibc/data/scripts/do-rv32imac-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,213 root INFO copying pythondata_software_picolibc/data/scripts/do-sparc64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,214 root INFO copying pythondata_software_picolibc/data/scripts/do-x86-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,215 root INFO copying pythondata_software_picolibc/data/scripts/do-x86_64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,215 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,216 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32s2-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,217 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-intel_apl_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,218 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-intel_bdw_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,219 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-intel_byt_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,220 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-intel_s1000-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,221 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx8m_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,221 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,222 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-sample_controller-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,223 root INFO copying pythondata_software_picolibc/data/scripts/do-zephyr-aarch64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,224 root INFO copying pythondata_software_picolibc/data/scripts/do-zephyr-arm-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,225 root INFO copying pythondata_software_picolibc/data/scripts/do-zephyr-riscv-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,226 root INFO copying pythondata_software_picolibc/data/scripts/duplicate-names -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,227 root INFO copying pythondata_software_picolibc/data/scripts/monitor-e9 -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,227 root INFO copying pythondata_software_picolibc/data/scripts/run-aarch64 -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,228 root INFO copying pythondata_software_picolibc/data/scripts/run-arm -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,229 root INFO copying pythondata_software_picolibc/data/scripts/run-cortex-a9 -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,230 root INFO copying pythondata_software_picolibc/data/scripts/run-i386 -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,231 root INFO copying pythondata_software_picolibc/data/scripts/run-riscv -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,232 root INFO copying pythondata_software_picolibc/data/scripts/run-rv32imac -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,233 root INFO copying pythondata_software_picolibc/data/scripts/run-rv32imafdc -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,234 root INFO copying pythondata_software_picolibc/data/scripts/run-thumbv6m -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,234 root INFO copying pythondata_software_picolibc/data/scripts/run-thumbv7e -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,235 root INFO copying pythondata_software_picolibc/data/scripts/run-thumbv7m -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,236 root INFO copying pythondata_software_picolibc/data/scripts/run-x86 -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,237 root INFO copying pythondata_software_picolibc/data/scripts/run-x86_64 -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,238 root INFO copying pythondata_software_picolibc/data/scripts/test-aarch64.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,239 root INFO copying pythondata_software_picolibc/data/scripts/test-arm.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,240 root INFO copying pythondata_software_picolibc/data/scripts/test-cortex-a9.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,241 root INFO copying pythondata_software_picolibc/data/scripts/test-i386.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,241 root INFO copying pythondata_software_picolibc/data/scripts/test-m68k.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,242 root INFO copying pythondata_software_picolibc/data/scripts/test-riscv.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,243 root INFO copying pythondata_software_picolibc/data/scripts/test-riscv32.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,244 root INFO copying pythondata_software_picolibc/data/scripts/test-riscv64.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,245 root INFO copying pythondata_software_picolibc/data/scripts/test-x86.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,246 root INFO copying pythondata_software_picolibc/data/scripts/test-x86_64.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:30,247 root INFO creating build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,247 root INFO copying pythondata_software_picolibc/data/hello-world/.gitignore -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,248 root INFO copying pythondata_software_picolibc/data/hello-world/Makefile -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,249 root INFO copying pythondata_software_picolibc/data/hello-world/README.md -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,250 root INFO copying pythondata_software_picolibc/data/hello-world/aarch64-cpp.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,251 root INFO copying pythondata_software_picolibc/data/hello-world/aarch64.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,251 root INFO copying pythondata_software_picolibc/data/hello-world/arm-cpp.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,252 root INFO copying pythondata_software_picolibc/data/hello-world/arm.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,253 root INFO copying pythondata_software_picolibc/data/hello-world/hello-world.c -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,254 root INFO copying pythondata_software_picolibc/data/hello-world/hello-worldpp.cpp -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,255 root INFO copying pythondata_software_picolibc/data/hello-world/printf.c -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,256 root INFO copying pythondata_software_picolibc/data/hello-world/riscv-cpp.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,257 root INFO copying pythondata_software_picolibc/data/hello-world/riscv.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,258 root INFO copying pythondata_software_picolibc/data/hello-world/run-aarch64 -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,258 root INFO copying pythondata_software_picolibc/data/hello-world/run-aarch64++ -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,259 root INFO copying pythondata_software_picolibc/data/hello-world/run-arm -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,260 root INFO copying pythondata_software_picolibc/data/hello-world/run-riscv -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,261 root INFO copying pythondata_software_picolibc/data/hello-world/run-riscv++ -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,262 root INFO copying pythondata_software_picolibc/data/hello-world/test-file -> build/lib/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:30,263 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/fake 2024-03-06 23:03:30,263 root INFO copying pythondata_software_picolibc/data/semihost/fake/fake_exit.c -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-03-06 23:03:30,264 root INFO copying pythondata_software_picolibc/data/semihost/fake/fake_io.c -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-03-06 23:03:30,265 root INFO copying pythondata_software_picolibc/data/semihost/fake/fake_kill.c -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-03-06 23:03:30,266 root INFO copying pythondata_software_picolibc/data/semihost/fake/fake_stub.c -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-03-06 23:03:30,267 root INFO copying pythondata_software_picolibc/data/semihost/fake/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-03-06 23:03:30,268 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine 2024-03-06 23:03:30,268 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-03-06 23:03:30,268 root INFO copying pythondata_software_picolibc/data/semihost/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-03-06 23:03:30,269 root INFO copying pythondata_software_picolibc/data/semihost/machine/aarch64/semihost-aarch64.S -> build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-03-06 23:03:30,270 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:30,271 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/bios.S -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:30,272 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/bios.ld -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:30,272 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/e9_exit.c -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:30,273 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/e9_io.c -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:30,274 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/e9_kill.c -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:30,275 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/e9_stub.c -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:30,276 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:30,277 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine/arm 2024-03-06 23:03:30,277 root INFO copying pythondata_software_picolibc/data/semihost/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/semihost/machine/arm 2024-03-06 23:03:30,278 root INFO copying pythondata_software_picolibc/data/semihost/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/machine/arm 2024-03-06 23:03:30,279 root INFO copying pythondata_software_picolibc/data/semihost/machine/arm/semihost-arm.S -> build/lib/pythondata_software_picolibc/data/semihost/machine/arm 2024-03-06 23:03:30,280 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine/riscv 2024-03-06 23:03:30,280 root INFO copying pythondata_software_picolibc/data/semihost/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/machine/riscv 2024-03-06 23:03:30,281 root INFO copying pythondata_software_picolibc/data/semihost/machine/riscv/semihost-riscv.s -> build/lib/pythondata_software_picolibc/data/semihost/machine/riscv 2024-03-06 23:03:30,282 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc 2024-03-06 23:03:30,283 root INFO copying pythondata_software_picolibc/data/newlib/libc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc 2024-03-06 23:03:30,284 root INFO copying pythondata_software_picolibc/data/newlib/libc/libc.in.xml -> build/lib/pythondata_software_picolibc/data/newlib/libc 2024-03-06 23:03:30,284 root INFO copying pythondata_software_picolibc/data/newlib/libc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc 2024-03-06 23:03:30,285 root INFO copying pythondata_software_picolibc/data/newlib/libc/sys.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc 2024-03-06 23:03:30,286 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm 2024-03-06 23:03:30,287 root INFO copying pythondata_software_picolibc/data/newlib/libm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm 2024-03-06 23:03:30,288 root INFO copying pythondata_software_picolibc/data/newlib/libm/libm.in.xml -> build/lib/pythondata_software_picolibc/data/newlib/libm 2024-03-06 23:03:30,288 root INFO copying pythondata_software_picolibc/data/newlib/libm/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm 2024-03-06 23:03:30,289 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite 2024-03-06 23:03:30,290 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite 2024-03-06 23:03:30,291 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/stdio-bits.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite 2024-03-06 23:03:30,292 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-03-06 23:03:30,292 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/elix.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-03-06 23:03:30,293 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/tmmap.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-03-06 23:03:30,294 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-03-06 23:03:30,294 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconv.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-03-06 23:03:30,295 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvjp.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-03-06 23:03:30,296 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvnm.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-03-06 23:03:30,297 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvru.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-03-06 23:03:30,298 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-03-06 23:03:30,299 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-03-06 23:03:30,299 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-03-06 23:03:30,300 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-03-06 23:03:30,301 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/locale.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-03-06 23:03:30,302 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-03-06 23:03:30,303 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-03-06 23:03:30,303 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-03-06 23:03:30,304 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-03-06 23:03:30,305 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.search/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-03-06 23:03:30,306 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-03-06 23:03:30,307 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-03-06 23:03:30,307 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/nulprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-03-06 23:03:30,308 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/stdio.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-03-06 23:03:30,309 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/swprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-03-06 23:03:30,310 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-03-06 23:03:30,311 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-03-06 23:03:30,311 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-03-06 23:03:30,312 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-03-06 23:03:30,313 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/size_max.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-03-06 23:03:30,314 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/stdlib.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-03-06 23:03:30,315 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:30,315 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memcpy-1.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:30,316 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memmove1.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:30,317 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:30,318 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/strcmp-1.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:30,319 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/string.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:30,320 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/tstring.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:30,321 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-03-06 23:03:30,321 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.time/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-03-06 23:03:30,322 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.time/time.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-03-06 23:03:30,323 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.time/tzset.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-03-06 23:03:30,324 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-03-06 23:03:30,324 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-03-06 23:03:30,325 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/tiswctype.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-03-06 23:03:30,326 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctrans.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-03-06 23:03:30,327 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctype.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-03-06 23:03:30,328 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/wctype.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-03-06 23:03:30,329 root INFO copying pythondata_software_picolibc/data/newlib/doc/.gitignore -> build/lib/pythondata_software_picolibc/data/newlib/doc 2024-03-06 23:03:30,330 root INFO copying pythondata_software_picolibc/data/newlib/doc/doc.str -> build/lib/pythondata_software_picolibc/data/newlib/doc 2024-03-06 23:03:30,331 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,331 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,332 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/caseconv.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,333 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/categories.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,334 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/categories.cat -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,335 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/categories.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,335 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/categories.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,336 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,337 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,338 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,339 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype_cp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,340 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype_iso.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,341 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isalnum.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,342 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isalnum_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,343 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isalpha.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,344 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isalpha_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,344 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isascii.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,345 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isascii_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,346 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isblank.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,347 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isblank_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,348 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,349 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,350 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isdigit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,351 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isdigit_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,351 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/islower.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,352 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/islower_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,353 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isprint.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,354 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isprint_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,355 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ispunct.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,356 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ispunct_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,357 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isspace.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,358 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isspace_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,359 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isupper.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,359 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isupper_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,360 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,361 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,362 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,363 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,364 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswblank.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,365 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswblank_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,366 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,366 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,367 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswctype.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,368 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswctype_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,369 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,370 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,371 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,372 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,372 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswlower.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,373 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswlower_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,374 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswprint.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,375 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswprint_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,376 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,377 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,378 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswspace.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,378 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswspace_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,379 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswupper.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,380 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswupper_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,381 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,382 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,383 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,384 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,385 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,385 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,387 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,387 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,388 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/mkcaseconv -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,389 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/mkcategories -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,390 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/mkunidata -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,391 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/toascii.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,392 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/toascii_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,393 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/tolower.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,393 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/tolower_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,394 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/toupper.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,395 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/toupper_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,396 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towctrans.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,397 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towctrans_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,398 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towlower.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,399 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towlower_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,400 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towupper.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,401 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towupper_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,401 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/wctrans.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,402 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/wctrans_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,403 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/wctype.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,404 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/wctype_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:30,405 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine 2024-03-06 23:03:30,405 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine 2024-03-06 23:03:30,406 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,407 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,408 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/_Exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,409 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/__atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,409 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/__call_atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,410 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/a64l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,411 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,412 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/abs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,413 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/aligned_alloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,414 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,415 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,415 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random_uniform.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,416 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/assert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,417 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,418 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,419 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,420 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atoff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,421 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atoi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,422 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atol.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,422 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,423 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/btowc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,424 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,425 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/chacha_private.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,426 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,427 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_finalize.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,428 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/div.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,428 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/drand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,429 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/dtoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,430 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/ecvtbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,431 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/efgcvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,432 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/environ.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,433 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/eprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,434 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/erand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,435 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,436 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-dmisc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,437 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gdtoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,438 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gethex.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,438 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gmisc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,439 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-hexnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,440 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-ldtoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,441 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,442 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoaimp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,443 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,444 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getenv_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,445 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getopt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,446 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.3 -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,446 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,447 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/imaxabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,448 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/imaxdiv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,449 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/itoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,450 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/jrand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,451 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/l64a.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,452 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/labs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,453 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/lcong48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,454 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/ldiv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,454 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/ldtoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,456 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/llabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,456 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/lldiv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,457 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,458 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/lrand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,459 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,460 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-cfree.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,461 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-free.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,462 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallinfo.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,463 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,463 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_stats.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,464 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_usable_size.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,465 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallopt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,466 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-memalign.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,467 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-pvalloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,468 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-realloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,469 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-valloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,470 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,471 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbctype.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,472 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mblen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,473 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbrlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,473 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbrtowc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,474 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbsinit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,475 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbsnrtowcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,476 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbsrtowcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,477 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbstowcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,478 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,479 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,480 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,480 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,481 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,482 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mrand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,483 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mstats.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,484 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mtrim.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,485 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mul_overflow.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,486 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,487 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-cfree.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,488 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-free.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,488 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-getpagesize.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,489 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallinfo.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,490 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,491 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_stats.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,492 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_usable_size.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,493 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallopt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,494 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-memalign.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,495 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-posix_memalign.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,496 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-pvalloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,496 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-realloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,497 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-valloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,498 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-mallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,499 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nrand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,500 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,501 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,502 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,503 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,504 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-cxa-atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,504 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,505 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,506 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,507 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/putenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,508 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/quick_exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,509 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rand.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,510 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,511 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,511 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rand_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,512 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/random.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,513 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/reallocarray.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,514 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/reallocf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,515 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rpmatch.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,516 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/sb_charsets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,517 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/seed48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,518 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/setenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,519 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/srand.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,519 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/srand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,520 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/srandom.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,521 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/std.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,522 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/stdlib.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,523 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtod.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,524 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtodg.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,525 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoimax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,526 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtol.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,527 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtold.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,528 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,528 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtorx.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,529 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoul.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,530 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoull.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,531 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoumax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,532 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/system.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,533 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/utoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,534 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcrtomb.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,535 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcsnrtombs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,536 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcsrtombs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,536 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstod.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,537 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoimax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,538 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstol.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,539 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstold.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,540 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,541 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,542 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstombs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,543 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoul.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,544 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,544 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,545 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoumax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,546 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wctob.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,547 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,548 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:30,549 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,549 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,550 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_add.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,551 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_add_sep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,552 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_append.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,553 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_count.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,554 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_create.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,555 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_create_sep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,555 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_delete.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,556 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_extract.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,557 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_insert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,558 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_next.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,559 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_replace.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,560 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_stringify.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,561 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,562 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,562 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_add.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,563 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_entry.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,564 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_get.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,565 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_merge.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,566 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_remove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,567 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_strip.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,568 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:30,568 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:30,569 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fdopen64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:30,570 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fgetpos64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:30,571 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fopen64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:30,572 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/freopen64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:30,573 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fseeko64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:30,574 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fsetpos64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:30,574 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/ftello64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:30,575 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:30,576 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:30,577 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:30,578 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/tmpfile64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:30,579 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,579 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,580 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/_ansi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,581 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/_newlib_version.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,582 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/_syslist.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,583 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/alloca.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,584 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ar.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,585 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/argz.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,585 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/assert.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,586 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/byteswap.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,587 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/complex.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,588 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/cpio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,589 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ctype.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,590 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/devctl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,591 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/dirent.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,592 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/elf.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,593 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,594 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/envlock.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,594 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/envz.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,595 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/errno.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,596 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/fastmath.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,597 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,598 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,599 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/fnmatch.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,600 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ftw.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,600 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/getopt.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,601 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/glob.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,602 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/grp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,603 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/iconv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,604 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ieeefp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,605 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/inttypes.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,606 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/langinfo.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,607 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/libgen.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,608 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/limits.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,608 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/locale.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,609 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/malloc.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,610 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,611 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/memory.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,612 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,613 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ndbm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,614 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/newlib.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,615 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/paths.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,616 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/picotls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,616 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/pwd.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,617 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/regdef.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,618 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/regex.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,619 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sched.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,620 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/search.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,621 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/setjmp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,622 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/signal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,623 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/spawn.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,623 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/stdint.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,624 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/stdlib.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,625 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/string.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,626 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/strings.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,627 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/tar.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,628 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/termios.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,629 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/threads.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,630 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/time.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,631 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/unctrl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,632 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/unistd.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,632 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/utime.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,633 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/utmp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,634 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/wchar.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,635 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/wctype.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,636 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/wordexp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:30,637 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:30,637 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:30,638 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/__dprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:30,639 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/ffs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:30,640 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/fini.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:30,641 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/init.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:30,642 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/lock.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:30,643 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:30,643 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/misc.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:30,644 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/unctrl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:30,645 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-03-06 23:03:30,646 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-03-06 23:03:30,646 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/encoding.aliases -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-03-06 23:03:30,647 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/iconv.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-03-06 23:03:30,648 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-03-06 23:03:30,649 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,650 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,650 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/duplocale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,651 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/freelocale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,652 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/lctype.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,653 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/lmessages.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,654 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/lmonetary.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,655 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/lnumeric.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,656 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/locale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,657 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/locale.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,658 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/localeconv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,659 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,659 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/newlocale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,660 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.3 -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,661 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,662 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/setlocale.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,663 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/timelocal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,664 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/uselocale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:30,665 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:30,665 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:30,666 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/dso_handle.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:30,667 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/getauxval.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:30,668 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/inittls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:30,669 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:30,670 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/picosbrk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:30,671 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,671 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,672 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/asprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,673 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,674 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_ryu.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,675 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,675 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_ryu.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,676 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atold_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,677 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/bufio.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,678 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/clearerr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,679 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/compare_exchange.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,680 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/conv_flt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,681 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,682 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,682 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,683 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_ryu.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,684 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,685 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,686 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,687 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,688 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/exchange.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,689 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fclose.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,689 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,690 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,691 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,692 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,693 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fdevopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,694 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fdopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,695 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/feof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,696 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ferror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,697 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fflush.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,697 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fgetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,698 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fgets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,699 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fileno.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,700 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrget.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,701 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrput.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,702 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrputalloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,703 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fmemopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,704 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,704 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,705 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fputc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,706 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fputs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,707 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fread.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,708 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/freopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,709 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,710 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fseek.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,711 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fseeko.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,712 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftell.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,712 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftello.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,713 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,714 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,715 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,716 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_ryu.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,717 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fwrite.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,718 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,719 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvtf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,719 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/getchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,720 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/gets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,721 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/make-dtoa-data -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,722 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/matchcaseprefix.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,723 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,724 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/mktemp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,725 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/perror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,726 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/posixiob.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,726 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/printf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,727 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,728 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,729 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/remove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,730 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/rewind.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,731 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_divpow2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,732 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,733 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log2pow5.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,734 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_pow5bits.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,734 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_table.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,735 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_umul128.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,736 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,737 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf_private.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,738 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,739 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuffer.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,740 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/setlinebuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,741 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/setvbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,742 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sflags.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,742 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,743 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintfd.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,744 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,745 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,746 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintfd.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,747 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,748 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,748 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio-bufio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,749 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,750 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio_private.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,751 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromd.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,752 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,753 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,754 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,755 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,756 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,757 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,758 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoimax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,758 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,759 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,760 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,761 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,762 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,763 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,764 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,765 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,765 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,766 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,767 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoumax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,768 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpfile.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,769 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpnam.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,770 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ultoa_invert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,771 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ungetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,772 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vasprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,772 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,773 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,774 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,775 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,776 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,777 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,778 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,779 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,780 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vsnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,781 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vsprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,781 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vsscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,782 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/xtoa_fast.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:30,783 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,784 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,784 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/chk_fail.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,785 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/gets_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,786 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/memcpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,787 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/memmove_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,788 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/mempcpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,789 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/memset_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,790 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,791 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/snprintf_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,791 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/sprintf_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,792 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/ssp.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,793 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/stack_protector.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,794 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/stpcpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,795 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/stpncpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,796 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/strcat_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,797 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/strcpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,798 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/strncat_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,798 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/strncpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,799 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/vsnprintf_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,800 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/vsprintf_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:30,801 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/errno 2024-03-06 23:03:30,802 root INFO copying pythondata_software_picolibc/data/newlib/libc/errno/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/errno 2024-03-06 23:03:30,802 root INFO copying pythondata_software_picolibc/data/newlib/libc/errno/errno.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/errno 2024-03-06 23:03:30,803 root INFO copying pythondata_software_picolibc/data/newlib/libc/errno/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/errno 2024-03-06 23:03:30,804 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/reent 2024-03-06 23:03:30,805 root INFO copying pythondata_software_picolibc/data/newlib/libc/reent/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/reent 2024-03-06 23:03:30,805 root INFO copying pythondata_software_picolibc/data/newlib/libc/reent/reent.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/reent 2024-03-06 23:03:30,806 root INFO copying pythondata_software_picolibc/data/newlib/libc/reent/reent.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/reent 2024-03-06 23:03:30,807 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,808 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,809 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/asctime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,809 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/asctime_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,810 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/clock.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,811 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/ctime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,812 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/ctime_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,813 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/difftime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,814 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/gettzinfo.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,815 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/gmtime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,815 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/gmtime_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,816 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/lcltime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,817 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/lcltime_buf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,818 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/lcltime_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,819 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,820 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,821 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/mktime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,822 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/month_lengths.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,822 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/strftime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,823 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/strptime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,824 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/time.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,825 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/time.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,826 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/tzcalc_limits.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,827 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/tzset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,828 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/tzvars.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,829 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/wcsftime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:30,830 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,830 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,831 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/WIDTH-A -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,832 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/ambiguous.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,833 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/bcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,834 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/bcopy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,834 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/bzero.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,835 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/combining.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,836 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/explicit_bzero.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,837 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/ffsl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,838 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/ffsll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,839 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/fls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,840 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/flsl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,841 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/flsll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,841 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/gnu_basename.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,842 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/index.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,843 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,844 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memccpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,845 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,846 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,847 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,848 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memmem.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,848 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,849 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/mempcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,850 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memrchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,851 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,852 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,853 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/mkunidata -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,854 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/mkwide -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,854 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/mkwidthA -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,855 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/rawmemchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,856 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/rindex.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,857 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/stpcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,858 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/stpncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,859 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/str-two-way.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,860 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcasecmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,861 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcasecmp_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,861 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcasestr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,862 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,863 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,864 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strchrnul.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,865 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,866 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,867 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcoll_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,868 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,869 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,869 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strdup.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,870 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strdup_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,871 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strerror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,872 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strerror_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,873 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strings.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,874 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strlcat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,875 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strlcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,876 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,876 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strlwr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,877 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncasecmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,878 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncasecmp_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,879 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,880 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,881 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,882 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strndup.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,882 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strndup_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,883 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strnlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,884 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strnstr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,885 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strpbrk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,886 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strrchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,887 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strsep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,888 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strsignal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,888 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,889 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strstr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,890 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strtok.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,891 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strtok_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,892 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strupr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,893 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strverscmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,894 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strxfrm.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,895 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strxfrm_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,896 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/swab.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,896 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/timingsafe_bcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,897 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/timingsafe_memcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,898 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/u_strerr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,899 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/uniset -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,900 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcpcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,901 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcpncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,902 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,903 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,903 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,904 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcschr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,905 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,906 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,907 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscoll_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,908 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,909 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,910 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsdup.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,910 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcslcat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,911 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcslcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,912 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcslen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,913 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,914 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,915 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,916 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,917 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,917 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsnlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,918 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcspbrk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,919 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsrchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,920 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,921 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsstr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,922 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcstok.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,923 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcstrings.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,924 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcswidth.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,924 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,925 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,926 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcwidth.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,927 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wide.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,928 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,929 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,930 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,931 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,931 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmempcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,932 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,933 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/xpg_strerror_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:30,934 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,935 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,936 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/bsd_qsort_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,936 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/bsearch.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,937 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/db_local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,938 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/extern.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,939 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,940 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,941 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_bigkey.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,942 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_buf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,943 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_func.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,944 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,944 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_page.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,945 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hcreate.3 -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,946 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hcreate.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,947 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hcreate_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,948 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,949 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/ndbm.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,950 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/page.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,951 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/qsort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,952 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/qsort_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,953 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tdelete.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,953 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tdestroy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,954 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tfind.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,955 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tsearch.3 -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,956 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tsearch.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,957 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/twalk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:30,958 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,958 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,959 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/README -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,960 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,961 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,962 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_array.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,963 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,963 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float_vax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,964 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_mem.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,965 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,966 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,967 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_rec.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,968 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_reference.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,969 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_sizeof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,970 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_stdio.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:30,971 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,971 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,972 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/basename.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,973 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/cclass.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,974 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/cname.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,975 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/collate.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,975 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/collate.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,976 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/collcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,977 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/dirname.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,978 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,979 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/fnmatch.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,980 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/ftw.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,981 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,982 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/namespace.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,982 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/nftw.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,983 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regcomp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,984 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regerror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,985 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regex2.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,986 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regexec.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,987 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regfree.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,988 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/rune.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,989 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/runetype.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,989 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/sysexits.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,990 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/un-namespace.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,991 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/utils.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:30,992 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:30,992 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/asiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:30,993 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/asniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:30,994 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/asnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:30,995 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/asprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:30,996 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/clearerr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:30,997 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/clearerr_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:30,998 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/diprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:30,999 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/dprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:30,999 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fclose.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,000 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fcloseall.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,001 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fdopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,002 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/feof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,003 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/feof_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,004 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ferror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,005 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ferror_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,006 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fflush.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,006 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fflush_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,007 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,008 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,009 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetpos.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,010 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,011 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgets_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,012 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,013 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,014 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetws.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,014 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetws_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,015 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fileno.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,016 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fileno_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,017 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/findfp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,018 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,019 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,020 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/flags.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,021 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/floatio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,021 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fmemopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,022 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,023 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fopencookie.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,024 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,025 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fpurge.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,026 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,027 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,028 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,029 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputs_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,029 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,030 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputwc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,031 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputws.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,032 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputws_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,033 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fread.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,034 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fread_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,035 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/freopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,036 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,037 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fseek.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,037 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fseeko.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,038 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fsetlocking.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,039 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fsetpos.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,040 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ftell.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,041 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ftello.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,042 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/funopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,043 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,044 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,045 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwalk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,045 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwide.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,046 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,047 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwrite.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,048 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwrite_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,049 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,050 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,051 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,052 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,053 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getchar_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,053 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getdelim.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,054 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getline.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,055 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/gets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,056 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getw.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,057 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,058 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getwc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,059 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getwchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,060 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getwchar_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,060 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/iprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,061 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/iscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,062 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,063 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/makebuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,064 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,065 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/mktemp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,066 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,067 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,068 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,069 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_float.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,069 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_i.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,070 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,071 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,072 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_float.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,073 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_i.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,074 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,075 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/open_memstream.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,076 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/perror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,077 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/printf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,078 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,079 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,079 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,080 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putchar_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,081 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,082 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putw.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,083 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,084 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putwc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,085 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putwchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,086 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putwchar_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,086 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/refill.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,087 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/remove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,088 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/rename.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,089 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/rewind.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,090 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/rget.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,091 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/scanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,092 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sccl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,093 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/setbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,094 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/setbuffer.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,094 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/setlinebuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,095 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/setvbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,096 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/siprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,097 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/siscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,098 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,099 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/snprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,100 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,101 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,102 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,103 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,104 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,105 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,105 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,106 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,107 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,108 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfiwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,109 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfiwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,110 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,111 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,112 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,112 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,113 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/swprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,114 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/swscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,115 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/tmpfile.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,116 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/tmpnam.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,117 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ungetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,118 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ungetwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,119 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vasiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,120 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vasniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,120 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vasnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,121 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vasprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,122 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vdiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,123 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vdprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,124 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfieeefp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,125 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,126 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,127 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfiwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,128 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfiwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,128 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,129 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,130 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,131 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,132 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/viprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,133 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/viscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,134 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,135 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,136 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,137 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,138 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,138 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,139 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,140 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,141 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vswprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,142 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vswscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,143 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,144 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,145 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/wbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,146 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/wprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,146 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/wscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,147 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/wsetup.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:31,148 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:31,149 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:31,150 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:31,150 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/psignal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:31,151 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/raise.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:31,152 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/sig2str.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:31,153 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/signal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:31,154 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/signal.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:31,155 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:31,155 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:31,156 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:31,157 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit-value.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:31,158 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:31,159 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/getreent.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:31,160 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/mlock.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:31,161 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/signal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:31,162 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d10v 2024-03-06 23:03:31,162 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/d10v/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d10v 2024-03-06 23:03:31,163 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/iq2000 2024-03-06 23:03:31,163 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/iq2000/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/iq2000 2024-03-06 23:03:31,164 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/fr30 2024-03-06 23:03:31,165 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/fr30/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/fr30 2024-03-06 23:03:31,166 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:31,166 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/cmpsi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:31,167 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/divsi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:31,168 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/lshrhi.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:31,169 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/mulsi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:31,170 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/sdivhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:31,171 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/smulhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:31,171 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/udivhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:31,172 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/umodhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:31,173 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:31,174 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:31,174 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:31,175 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:31,176 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:31,177 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:31,178 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:31,179 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mt 2024-03-06 23:03:31,179 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mt/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mt 2024-03-06 23:03:31,180 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32r 2024-03-06 23:03:31,181 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m32r/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32r 2024-03-06 23:03:31,181 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:31,182 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:31,183 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:31,184 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:31,185 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:31,185 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:31,186 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:31,187 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:31,188 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:31,189 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:31,190 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic80 2024-03-06 23:03:31,190 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/tic80/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic80 2024-03-06 23:03:31,191 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic6x 2024-03-06 23:03:31,192 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/tic6x/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic6x 2024-03-06 23:03:31,192 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-03-06 23:03:31,193 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/libcdtor.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-03-06 23:03:31,194 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-03-06 23:03:31,195 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-03-06 23:03:31,196 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-03-06 23:03:31,196 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/setjmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-03-06 23:03:31,197 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m88k 2024-03-06 23:03:31,198 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m88k/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m88k 2024-03-06 23:03:31,199 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/frv 2024-03-06 23:03:31,199 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/frv/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/frv 2024-03-06 23:03:31,200 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:31,201 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/m68kasm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:31,201 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:31,202 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:31,203 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:31,204 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:31,205 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:31,206 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:31,207 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-03-06 23:03:31,207 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/getenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-03-06 23:03:31,208 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-03-06 23:03:31,209 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/pru 2024-03-06 23:03:31,209 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/pru/setjmp.s -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/pru 2024-03-06 23:03:31,210 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic4x 2024-03-06 23:03:31,211 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/tic4x/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic4x 2024-03-06 23:03:31,212 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-03-06 23:03:31,212 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nios2/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-03-06 23:03:31,213 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nios2/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-03-06 23:03:31,214 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nios2/setjmp.s -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-03-06 23:03:31,215 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32c 2024-03-06 23:03:31,215 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m32c/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32c 2024-03-06 23:03:31,216 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:31,216 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:31,217 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:31,218 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:31,219 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:31,220 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:31,221 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:31,222 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,222 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,223 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,224 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,225 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,226 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,227 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,227 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,228 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,229 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,230 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,231 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,232 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,233 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,234 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,234 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,235 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,236 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,237 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,238 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,239 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,240 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,241 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,242 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,242 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,243 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,244 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,245 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,246 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,247 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,248 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,249 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,250 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,250 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:31,251 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-03-06 23:03:31,252 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/msp430/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-03-06 23:03:31,253 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/msp430/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-03-06 23:03:31,254 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:31,254 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:31,255 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:31,256 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:31,257 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:31,258 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:31,258 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:31,259 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:31,260 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:31,261 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/v850 2024-03-06 23:03:31,262 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/v850/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/v850 2024-03-06 23:03:31,263 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:31,263 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:31,264 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/longjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:31,265 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/mb_endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:31,266 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:31,267 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:31,268 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:31,268 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:31,269 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d30v 2024-03-06 23:03:31,270 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/d30v/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d30v 2024-03-06 23:03:31,271 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-03-06 23:03:31,271 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/powerpc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-03-06 23:03:31,272 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/powerpc/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-03-06 23:03:31,273 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,273 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,274 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,275 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-bs-norm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,276 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,277 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,278 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-archs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,279 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,279 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,280 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,281 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-archs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,282 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,283 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,284 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,285 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,286 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,286 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs-norm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,287 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,288 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,289 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,290 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-archs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,291 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,292 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,293 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs-arc600.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,294 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,294 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,295 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,296 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs-norm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,297 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,298 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,299 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,300 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,301 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,301 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:31,302 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/epiphany 2024-03-06 23:03:31,303 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/epiphany/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/epiphany 2024-03-06 23:03:31,304 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,304 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,305 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,306 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,307 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/mempcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,308 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,308 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,309 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strcat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,310 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,311 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,312 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,313 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strncat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,314 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strncmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,315 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:31,315 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,316 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,317 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/i386mach.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,318 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,318 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,319 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,320 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,321 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,322 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,323 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,324 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-64.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,324 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,325 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,326 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,327 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,328 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,329 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-64.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,330 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,331 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,331 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,332 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-64.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,333 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,334 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,335 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,336 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,337 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,338 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,338 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,339 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/x86_64mach.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:31,340 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,341 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/DEFS.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,341 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,342 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,343 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,344 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,345 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/pcc_prefix.s -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,346 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,347 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,348 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,349 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,350 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,350 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,351 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,352 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:31,353 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,354 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,355 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,356 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,356 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,357 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,358 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,359 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,360 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,361 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,362 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,363 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,364 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,365 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,365 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,366 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,367 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,368 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcspn.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,369 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strdup.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,370 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,371 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,372 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,373 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,374 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,374 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,375 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,376 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,377 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strpbrk.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,378 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strrchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:31,379 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-03-06 23:03:31,379 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/csky/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-03-06 23:03:31,380 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/csky/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-03-06 23:03:31,381 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/moxie 2024-03-06 23:03:31,382 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/moxie/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/moxie 2024-03-06 23:03:31,383 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-03-06 23:03:31,383 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-03-06 23:03:31,384 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-03-06 23:03:31,385 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/scan.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-03-06 23:03:31,386 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-03-06 23:03:31,387 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/shuffle.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-03-06 23:03:31,387 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10200 2024-03-06 23:03:31,388 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10200/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10200 2024-03-06 23:03:31,389 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,389 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,390 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/acle-compat.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,391 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memcpy-armv7a.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,392 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,393 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/arm_asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,394 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/bzero.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,395 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,396 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,396 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7a.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,397 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7m.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,398 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,399 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,400 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,401 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-arm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,402 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,403 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb2.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,404 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,404 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,405 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,406 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,407 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-arm-tiny.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,408 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,409 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4t.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,410 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,411 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6m.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,412 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,412 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7m.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,413 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,414 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,415 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-armv7.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,416 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb1-Os.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,417 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb2-Os.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,418 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,419 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:31,419 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:31,420 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/args.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:31,421 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:31,422 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:31,423 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:31,423 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:31,424 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:31,425 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:31,426 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:31,427 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:31,427 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:31,428 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/stpcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:31,429 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:31,430 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:31,431 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:31,432 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:31,432 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:31,433 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:31,434 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:31,435 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:31,436 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:31,437 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:31,438 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:31,439 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/strncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:31,439 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-03-06 23:03:31,440 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xc16x/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-03-06 23:03:31,441 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xc16x/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-03-06 23:03:31,442 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xc16x/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-03-06 23:03:31,443 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/or1k 2024-03-06 23:03:31,443 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/or1k/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/or1k 2024-03-06 23:03:31,444 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-03-06 23:03:31,444 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/bfin/longjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-03-06 23:03:31,445 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/bfin/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-03-06 23:03:31,446 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,447 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,448 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/ieeefp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,448 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy-asm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,449 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,450 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,451 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,452 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,453 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,454 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,455 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,456 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,456 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:31,457 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:31,458 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/cmpsi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:31,459 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/divhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:31,460 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/divsi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:31,460 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulhi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:31,461 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulsi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:31,462 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/negsi2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:31,463 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/psi.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:31,464 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:31,465 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/shifts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:31,466 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mep 2024-03-06 23:03:31,466 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mep/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mep 2024-03-06 23:03:31,467 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-03-06 23:03:31,468 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/necv70/fastmath.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-03-06 23:03:31,468 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/necv70/necv70.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-03-06 23:03:31,469 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/necv70/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-03-06 23:03:31,470 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,471 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,471 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/callocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,472 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/cfree.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,473 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/freer.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,474 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malign.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,475 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,476 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,477 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/msize.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,478 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mstats.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,479 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/realloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,479 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/reallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,480 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,481 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/tiny-malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,482 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/valloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:31,483 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/lm32 2024-03-06 23:03:31,484 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/lm32/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/lm32 2024-03-06 23:03:31,484 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:31,485 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:31,486 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:31,487 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:31,488 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:31,488 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:31,489 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:31,490 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:31,491 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:31,492 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:31,493 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa-asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:31,494 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:31,495 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,495 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/assert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,496 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/c99ppe.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,497 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/calloc_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,498 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/clearerr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,499 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/creat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,500 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/ea_internal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,501 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fclose.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,501 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fdopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,502 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/feof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,503 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/ferror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,504 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fflush.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,505 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,506 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetpos.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,507 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fgets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,508 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fileno.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,508 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fiprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,509 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fiscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,510 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,511 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,512 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fputc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,513 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fputs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,514 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fread.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,514 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/free_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,515 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/freopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,516 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,517 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fseek.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,518 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fsetpos.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,519 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/ftell.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,520 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fwrite.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,521 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/getc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,521 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/getchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,522 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/gets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,523 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/iprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,524 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/iscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,525 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/malloc_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,526 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memchr_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,527 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,528 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,528 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,529 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,530 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,531 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,532 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,533 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memset_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,534 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/mk_syscalls -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,535 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/mmap_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,536 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/mremap_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,536 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/msync_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,537 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/munmap_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,538 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/perror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,539 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/posix_memalign_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,540 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/pread_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,541 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/printf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,542 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/putc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,543 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,544 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,544 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/pwrite_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,545 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/read_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,546 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/readv_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,547 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/realloc_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,548 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/remove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,549 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/rename.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,550 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/rewind.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,551 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/scanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,551 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/setbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,552 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,553 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/setvbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,554 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/siprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,555 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/siscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,556 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sleep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,557 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sniprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,558 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/snprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,559 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,559 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-gmon.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,560 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-mcount.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,561 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_stop.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,562 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_svcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,563 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timebase.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,564 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_flih.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,565 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_free.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,566 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_internal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,567 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,568 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih_reg.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,568 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_stop.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,569 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_svcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,570 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,571 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/stack_reg_va.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,572 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/stdio.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,573 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/straddr.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,574 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,575 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,575 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,576 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,577 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,578 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,579 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,580 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,581 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,582 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,582 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,583 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,584 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,585 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,586 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,587 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,588 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,589 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,590 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,590 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,591 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,592 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,593 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,594 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,595 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,596 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,597 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strstr_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,598 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strxfrm.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,599 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/syscall.def -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,599 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpfile.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,600 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpnam.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,601 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/ungetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,602 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/usleep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,603 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vec_literal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,604 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,605 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,606 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,606 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,607 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/viprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,608 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/viscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,609 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,610 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,611 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,612 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,613 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,614 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,614 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,615 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,616 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/write_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,617 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/writev_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:31,618 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rl78 2024-03-06 23:03:31,618 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rl78/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rl78 2024-03-06 23:03:31,619 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-03-06 23:03:31,620 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/getenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-03-06 23:03:31,621 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-03-06 23:03:31,621 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11 2024-03-06 23:03:31,622 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68hc11/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11 2024-03-06 23:03:31,623 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:31,623 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/defines.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:31,624 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/h8sx_strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:31,625 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:31,626 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:31,627 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:31,628 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:31,629 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/setarch.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:31,629 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:31,630 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:31,631 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,632 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,633 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/assert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,633 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,634 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/callocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,635 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/clock.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,636 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,637 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/free.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,638 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,639 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/mallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,640 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/misc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,640 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/printf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,641 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,642 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,643 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/realloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,644 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/reallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,645 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/write.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:31,646 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/include 2024-03-06 23:03:31,646 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/include/pthread.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/include 2024-03-06 23:03:31,647 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-03-06 23:03:31,648 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/errno.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-03-06 23:03:31,648 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-03-06 23:03:31,649 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/signal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-03-06 23:03:31,650 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-03-06 23:03:31,651 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-03-06 23:03:31,652 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/libh.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-03-06 23:03:31,652 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/syscall.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-03-06 23:03:31,653 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-03-06 23:03:31,654 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-03-06 23:03:31,655 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-03-06 23:03:31,656 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/fenv-fp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-03-06 23:03:31,657 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-03-06 23:03:31,657 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-03-06 23:03:31,658 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-03-06 23:03:31,659 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-03-06 23:03:31,660 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-03-06 23:03:31,661 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-03-06 23:03:31,661 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-03-06 23:03:31,662 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine 2024-03-06 23:03:31,663 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine/fenv-fp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine 2024-03-06 23:03:31,664 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys 2024-03-06 23:03:31,664 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys 2024-03-06 23:03:31,665 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-03-06 23:03:31,666 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-03-06 23:03:31,666 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/fastmath.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-03-06 23:03:31,667 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-03-06 23:03:31,668 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-03-06 23:03:31,669 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-03-06 23:03:31,669 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-03-06 23:03:31,670 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-03-06 23:03:31,671 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-03-06 23:03:31,672 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-03-06 23:03:31,673 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-03-06 23:03:31,674 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/sparclet.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-03-06 23:03:31,674 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-03-06 23:03:31,675 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-03-06 23:03:31,676 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-03-06 23:03:31,677 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-03-06 23:03:31,677 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:31,678 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:31,679 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/_endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:31,680 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/acle-compat.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:31,681 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:31,682 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:31,682 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/param.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:31,683 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-03-06 23:03:31,684 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-03-06 23:03:31,685 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-03-06 23:03:31,686 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-03-06 23:03:31,686 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:31,687 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:31,688 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:31,689 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-fp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:31,690 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-softfloat.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:31,691 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:31,691 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/regdef.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:31,692 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-03-06 23:03:31,693 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-03-06 23:03:31,694 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-03-06 23:03:31,695 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-03-06 23:03:31,695 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-03-06 23:03:31,696 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-03-06 23:03:31,697 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-03-06 23:03:31,698 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-03-06 23:03:31,698 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-03-06 23:03:31,699 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-03-06 23:03:31,700 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-03-06 23:03:31,701 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-03-06 23:03:31,702 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-03-06 23:03:31,703 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/string.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-03-06 23:03:31,703 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine 2024-03-06 23:03:31,704 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine/registers.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine 2024-03-06 23:03:31,705 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-03-06 23:03:31,705 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-03-06 23:03:31,706 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/core-isa.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-03-06 23:03:31,707 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-03-06 23:03:31,708 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-03-06 23:03:31,709 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-03-06 23:03:31,709 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-03-06 23:03:31,710 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-03-06 23:03:31,711 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine 2024-03-06 23:03:31,712 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/machine/_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine 2024-03-06 23:03:31,713 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-03-06 23:03:31,713 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/include/ea.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-03-06 23:03:31,714 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/include/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-03-06 23:03:31,715 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/include/spu_timer.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-03-06 23:03:31,716 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:31,716 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/custom_file.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:31,717 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/dirent.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:31,718 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/errno.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:31,719 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:31,720 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/linux_syscalls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:31,721 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/mman.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:31,722 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/sched.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:31,723 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/syscall.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:31,723 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/uio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:31,724 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/utime.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:31,725 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-03-06 23:03:31,726 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-03-06 23:03:31,727 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/libh.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-03-06 23:03:31,727 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/syscall.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-03-06 23:03:31,728 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,729 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,730 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_arc4random.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,730 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_default_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,731 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,732 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_time.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,733 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,734 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/ansi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,735 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,736 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/fastmath.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,737 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/ieee.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,738 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/ieeefp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,738 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/malloc.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,739 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,740 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,741 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/param.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,742 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp-dj.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,743 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,744 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/stdlib.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,745 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/termios.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,745 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/time.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,746 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:31,747 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,748 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,748 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_default_fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,749 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_intsup.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,750 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_locale.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,751 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_sigset.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,752 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_stdint.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,753 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_timespec.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,754 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_timeval.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,755 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,756 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_tz_structs.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,756 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/auxv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,757 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/cdefs.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,758 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/config.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,759 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/custom_file.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,760 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/dir.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,761 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/dirent.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,762 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/errno.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,763 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,764 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/features.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,765 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,765 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/file.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,766 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/iconvnls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,767 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/lock.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,768 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,769 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/param.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,770 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/queue.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,771 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/resource.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,771 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/sched.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,772 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/select.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,773 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/signal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,774 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/stat.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,775 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/string.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,776 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/syslimits.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,777 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/time.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,778 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/timeb.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,779 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/times.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,779 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/timespec.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,780 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/tree.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,781 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,782 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/unistd.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,783 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/utime.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,784 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/wait.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:31,785 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:31,785 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:31,786 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:31,787 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/ssp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:31,788 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/stdio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:31,789 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/stdlib.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:31,790 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/string.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:31,791 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/strings.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:31,792 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/unistd.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:31,792 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/wchar.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:31,793 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-03-06 23:03:31,794 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/arpa/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-03-06 23:03:31,795 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/arpa/inet.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-03-06 23:03:31,796 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/arpa/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-03-06 23:03:31,796 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-03-06 23:03:31,797 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/rpc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-03-06 23:03:31,798 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/rpc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-03-06 23:03:31,799 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/rpc/types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-03-06 23:03:31,800 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/rpc/xdr.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-03-06 23:03:31,800 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/bits 2024-03-06 23:03:31,801 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/bits/types 2024-03-06 23:03:31,801 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/bits/types/mbstate_t.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/bits/types 2024-03-06 23:03:31,802 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,803 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,804 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/big5.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,806 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccs.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,807 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,808 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,808 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsnames.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,809 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane1.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,811 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane14.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,813 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,816 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp775.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,817 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp850.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,818 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp852.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,819 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp855.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,820 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp866.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,820 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_1.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,821 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_10.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,822 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_11.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,823 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_13.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,824 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_14.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,825 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_15.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,826 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,827 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,828 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_4.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,829 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_5.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,830 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_6.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,831 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_7.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,832 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_8.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,832 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_9.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,833 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_ir_111.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,834 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0201_1976.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,835 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0208_1990.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,837 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0212_1990.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,840 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,841 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_ru.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,842 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,843 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_uni.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,844 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ksx1001.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,846 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,847 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/mktbl.pl -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,848 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1250.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,849 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1251.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,850 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1252.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,851 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1253.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,852 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1254.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,853 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1255.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,854 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1256.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,855 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1257.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,856 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1258.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:31,857 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,857 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,858 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesbi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,859 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,860 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/conv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,861 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/encnames.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,862 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/encoding.deps -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,862 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,863 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,864 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,865 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,866 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,867 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,868 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/nullconv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,869 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,870 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:31,870 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,871 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,872 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,873 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,874 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesdeps.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,875 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/euc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,876 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,876 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/mkdeps.pl -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,877 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/table-pcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,878 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/table.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,879 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2-internal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,880 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,881 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4-internal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,882 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,883 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/us-ascii.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,884 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-16.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,884 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-8.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:31,885 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,886 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,887 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/big5.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,888 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane1.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,890 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane14.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,891 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane2.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,893 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp775.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,894 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp850.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,895 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp852.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,895 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp855.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,896 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp866.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,897 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_1.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,898 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_10.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,899 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_11.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,900 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_13.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,901 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_14.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,902 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_15.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,903 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_2.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,903 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_3.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,904 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_4.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,905 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_5.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,906 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_6.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,907 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_7.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,908 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_8.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,909 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_9.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,910 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_ir_111.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,911 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0201_1976.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,911 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0208_1990.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,913 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0212_1990.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,914 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_r.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,915 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_ru.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,916 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_u.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,917 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_uni.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,918 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/ksx1001.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,919 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,920 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1250.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,921 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1251.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,922 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1252.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,923 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1253.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,924 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1254.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,925 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1255.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,926 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1256.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,927 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1257.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,927 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1258.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:31,928 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/sys 2024-03-06 23:03:31,929 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems 2024-03-06 23:03:31,929 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-03-06 23:03:31,930 root INFO copying pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/README -> build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-03-06 23:03:31,931 root INFO copying pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/poll.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-03-06 23:03:31,931 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys 2024-03-06 23:03:31,932 root INFO copying pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys/poll.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys 2024-03-06 23:03:31,933 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine 2024-03-06 23:03:31,933 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine 2024-03-06 23:03:31,934 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-03-06 23:03:31,935 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-03-06 23:03:31,936 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-03-06 23:03:31,936 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-03-06 23:03:31,937 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-03-06 23:03:31,938 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-03-06 23:03:31,939 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-03-06 23:03:31,939 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:31,940 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:31,941 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/arm_tls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:31,942 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/interrupt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:31,943 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:31,943 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/read_tp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:31,944 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:31,945 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-03-06 23:03:31,946 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-03-06 23:03:31,947 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-03-06 23:03:31,947 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-03-06 23:03:31,948 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-03-06 23:03:31,949 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-03-06 23:03:31,950 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-03-06 23:03:31,951 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-03-06 23:03:31,951 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:31,952 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/common.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:31,953 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/d2s_intrinsics.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:31,954 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/digit_table.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:31,955 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/f2s_intrinsics.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:31,955 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:31,956 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu_parse.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:31,957 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-03-06 23:03:31,958 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-03-06 23:03:31,959 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sys/stdio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-03-06 23:03:31,959 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine 2024-03-06 23:03:31,960 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine 2024-03-06 23:03:31,961 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,961 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,962 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/acoshl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,963 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/acosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,964 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/asinhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,965 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/asinl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,966 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/atan2l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,967 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/atanhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,967 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/atanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,968 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/cbrtl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,969 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/ceill.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,970 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/copysignl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,971 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/cosf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,972 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/coshl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,973 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/cosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,974 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/dreml.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,974 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/erfcl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,975 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/erfl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,976 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,977 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp10l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,978 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,979 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp2l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,980 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,981 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/expl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,982 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/expm1l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,982 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fabsl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,983 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fdiml.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,984 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fdlibm.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,985 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/finitel.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,986 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/floorl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,987 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fmal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,988 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fmaxl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,988 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fminl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,989 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fmodl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,990 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/frexpl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,991 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/ilogbl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,992 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/isgreater.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,993 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/isinfl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,994 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/isnanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,994 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/ldexpl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,995 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/lgammal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,996 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/llrintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,997 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/llroundl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,998 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:31,999 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,000 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log10l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,001 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log1pl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,001 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,002 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log2_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,003 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log2l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,004 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,005 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/logbl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,006 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/logl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,007 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/lrintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,008 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/lroundl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,009 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_config.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,010 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_check_oflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,010 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_check_uflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,011 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_divzero.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,012 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_invalid.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,013 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_may_uflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,014 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_oflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,015 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_uflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,016 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_with_errno.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,017 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_oflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,018 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_uflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,018 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_divzerof.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,019 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_invalidf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,020 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_may_uflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,021 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_oflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,022 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_uflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,023 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_with_errnof.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,024 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errl_invalidl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,025 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errl_with_errnol.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,026 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_inexact.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,026 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_inexactf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,027 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,028 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/modfl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,029 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,030 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nearbyintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,031 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nextafterl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,032 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nexttoward.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,033 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nexttowardf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,034 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nexttowardl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,034 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,035 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/pow10l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,036 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/pow_log_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,037 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/powl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,038 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/remainderl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,039 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/remquol.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,040 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/rintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,041 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/roundl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,042 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_cbrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,042 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,043 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_exp10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,044 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_expm1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,045 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fdim.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,046 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,047 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,048 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,049 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,049 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,050 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_getpayload.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,051 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_ilogb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,052 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_infinity.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,053 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_iseqsig.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,054 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,055 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_isinfd.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,056 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,057 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_isnand.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,057 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_issignaling.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,058 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,059 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,060 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_log1p.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,061 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,062 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_logb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,063 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,064 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,064 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_modf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,065 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_nan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,066 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,067 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_nextafter.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,068 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_pow10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,069 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_remquo.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,070 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,071 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,072 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_scalbln.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,073 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_scalbn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,073 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_signbit.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,074 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,075 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/scalblnl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,076 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/scalbnl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,077 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_cbrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,078 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,079 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,080 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_exp10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,081 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,081 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_exp2_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,082 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_expm1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,083 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fdim.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,084 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,085 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,086 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,087 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,088 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,088 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_getpayload.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,089 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_ilogb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,090 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_infinity.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,091 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_iseqsig.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,092 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,093 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_isinff.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,094 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,095 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_isnanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,096 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_issignaling.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,096 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,097 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,098 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,099 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log1p.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,100 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,101 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log2_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,102 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,103 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_logb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,103 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,104 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,105 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_modf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,106 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_nan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,107 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,108 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_nextafter.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,109 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,110 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_pow10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,111 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_pow_log2_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,111 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_remquo.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,112 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,113 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,114 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_scalbln.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,115 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_scalbn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,116 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,117 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/signgam.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,118 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sincosf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,119 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sincosf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,119 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sincosf_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,120 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sincosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,121 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,122 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sinhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,123 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sinl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,124 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sl_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,125 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sl_iseqsig.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,126 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sl_issignaling.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,126 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sqrtl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,127 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/tanhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,128 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/tanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,129 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/tgammal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,130 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/truncl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:32,131 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,131 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,132 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cabsf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,133 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cabsl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,134 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,135 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacosf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,136 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,137 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacoshf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,138 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacoshl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,138 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,139 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/carg.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,140 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cargf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,141 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cargl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,142 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,143 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,144 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,145 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinhf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,145 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,146 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,147 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,148 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,149 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,150 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanhf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,151 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,151 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,152 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,153 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccosf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,154 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,155 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccoshf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,156 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccoshl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,157 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,158 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,158 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,159 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,160 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,161 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,162 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,163 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,164 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cexpf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,165 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cexpl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,165 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cimag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,166 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cimagf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,167 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cimagl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,168 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clog.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,169 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clog10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,170 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clog10f.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,171 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clog10l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,172 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clogf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,172 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clogl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,173 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/complex.tex -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,174 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/conj.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,175 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/conjf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,176 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/conjl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,177 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cpow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,178 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cpowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,178 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cpowl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,179 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cproj.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,180 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cprojf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,181 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cprojl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,182 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/creal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,183 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/crealf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,184 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/creall.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,185 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,185 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,186 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,187 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinhf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,188 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,189 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,190 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,191 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csqrtf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,192 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csqrtl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,192 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,193 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,194 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,195 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanhf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,196 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,197 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,198 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:32,198 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,199 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,200 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fe_dfl_env.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,201 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feclearexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,202 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fedisableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,202 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feenableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,203 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,204 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,205 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,206 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetmode.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,207 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,208 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feholdexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,209 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fenv.tex -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,209 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fenv_stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,210 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feraiseexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,211 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,212 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,213 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,214 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetmode.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,215 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,215 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fetestexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,216 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feupdateenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,217 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:32,218 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,219 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,219 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/k_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,220 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/k_rem_pio2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,221 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/k_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,222 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/k_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,223 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/kf_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,224 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/kf_rem_pio2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,225 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/kf_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,225 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/kf_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,226 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/math.tex -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,227 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,228 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_acos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,229 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_acosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,230 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_asin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,231 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_asinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,232 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_atan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,232 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_atan2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,233 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_atanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,234 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,235 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,236 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_cosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,237 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_drem.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,238 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_erf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,239 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,239 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,240 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,241 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,242 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_fmod.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,243 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_frexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,244 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_gamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,245 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,246 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_j0.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,246 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_j1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,247 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_jn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,248 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,249 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,250 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,251 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,252 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_rem_pio2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,253 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_remainder.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,253 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_scalb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,254 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_signif.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,255 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,256 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_sincos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,257 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_sinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,258 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,259 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,260 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_tanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,260 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_tgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,261 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_acos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,262 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_acosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,263 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_asin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,264 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_asinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,265 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_atan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,266 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_atan2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,266 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_atanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,267 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,268 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,269 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_cosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,270 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_drem.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,271 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_erf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,272 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,273 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,274 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,274 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,275 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_fmod.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,276 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_frexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,277 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_gamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,278 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,279 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_j0.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,280 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_j1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,281 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_jn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,282 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,282 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,283 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,284 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,285 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,286 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_rem_pio2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,287 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_remainder.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,288 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_scalb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,289 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_signif.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,290 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,290 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_sincos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,291 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_sinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,292 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,293 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,294 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_tanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,295 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_tgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,296 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sl_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,297 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sr_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,297 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/srf_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:32,298 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,299 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/acos_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,300 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/acosf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,301 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/acosh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,302 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/acoshf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,303 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/asin_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,304 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/asinf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,305 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/asinh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,306 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/asinhf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,307 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atan2_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,308 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atan2f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,309 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atan_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,310 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atanf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,311 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atanh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,312 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atanhf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,313 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/ceil_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,314 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/ceilf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,315 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/conv_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,316 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/convert.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,317 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/copysign_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,318 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/copysignf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,319 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/cos_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,320 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/cosf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,321 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/cosh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,322 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/coshf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,323 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/dcvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,324 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/dvec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,325 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/erf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,325 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/erfc_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,326 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/erfcf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,327 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/erff_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,328 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/exp_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,329 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/expf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,330 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/fabs_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,331 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/fabsf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,332 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/floor_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,333 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/floorf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,334 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/fmod_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,335 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/fmodf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,336 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/gamma_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,337 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/gammaf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,338 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/hypot_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,339 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/hypotf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,340 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/iconv_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,341 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/issignaling_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,342 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/j0_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,343 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/j0f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,344 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/j1_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,345 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/j1f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,346 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/jn_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,347 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/jnf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,348 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log10_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,349 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log10f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,350 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log1p_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,350 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log1pf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,351 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log2_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,352 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log2f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,353 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,354 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/logf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,355 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/math.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,356 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/math2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,357 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,358 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/modf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,359 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/modff_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,360 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/pow_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,361 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/powf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,362 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/scalb_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,362 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/scalbn_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,363 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sin_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,364 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sinf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,365 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sinh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,366 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sinhf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,367 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sprint_ivec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,369 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sprint_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,370 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sqrt_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,371 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sqrtf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,372 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/string.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,373 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/tan_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,374 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/tanf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,375 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/tanh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,376 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/tanhf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,377 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/test.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,378 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/test.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,378 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/test_ieee.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,379 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/test_is.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,380 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/trunc_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,381 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/truncf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,382 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/y0_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,383 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/y0f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,384 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/y1_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,385 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/y1f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,386 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/yn_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,387 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/ynf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:32,388 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-03-06 23:03:32,388 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/nds32/w_sqrt.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-03-06 23:03:32,389 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/nds32/wf_sqrt.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-03-06 23:03:32,390 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:32,391 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:32,391 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassifyf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:32,392 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:32,393 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinitef.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:32,394 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:32,395 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isinff.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:32,396 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:32,397 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isnanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:32,398 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:32,398 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormalf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:32,399 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,400 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,401 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,402 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,402 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,403 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,404 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,405 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,406 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,407 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,408 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,409 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,409 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,410 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,411 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,412 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,413 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,414 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,415 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,415 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,416 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,417 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,418 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,419 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,420 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,421 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,422 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,423 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,423 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,424 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,425 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,426 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,427 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,428 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:32,429 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-03-06 23:03:32,429 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/powerpc/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-03-06 23:03:32,430 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/powerpc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-03-06 23:03:32,431 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,431 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,432 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,433 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2f.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,434 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,435 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_expf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,436 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexp.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,436 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexpf.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,437 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexp.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,438 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexpf.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,439 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,440 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,441 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,442 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,443 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,443 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10f.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,444 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_logf.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,445 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,446 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,447 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,448 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_math.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,449 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,450 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_powf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,450 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,451 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,452 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,453 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tan.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,454 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,455 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,456 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/i386mach.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,457 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:32,457 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-03-06 23:03:32,458 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/sparc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-03-06 23:03:32,459 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/sparc/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-03-06 23:03:32,460 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/sparc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-03-06 23:03:32,461 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,461 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,462 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/_fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,463 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feclearexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,464 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fedisableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,465 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feenableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,466 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,466 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,467 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,468 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,469 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feholdexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,470 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feraiseexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,471 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,472 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,473 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,473 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fetestexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,474 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feupdateenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,475 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,476 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,477 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,478 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,479 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fma_arm.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,480 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,480 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,481 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,482 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,483 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,484 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,485 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,486 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,487 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fma_arm.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,487 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,488 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,489 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,490 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,491 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:32,492 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-03-06 23:03:32,492 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/mips/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-03-06 23:03:32,493 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/mips/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-03-06 23:03:32,494 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/mips/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-03-06 23:03:32,495 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,495 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,496 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/feclearexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,497 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,498 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,499 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,500 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/feholdexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,501 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/feraiseexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,502 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,503 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,503 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,504 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fetestexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,505 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/feupdateenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,506 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,507 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,508 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,509 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,510 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,510 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma_riscv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,511 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,512 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,513 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,514 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,515 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,516 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,517 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,517 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,518 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,519 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,520 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,521 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,522 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,523 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,523 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma_riscv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,524 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,525 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,526 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,527 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,528 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,529 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,530 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,530 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,531 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,532 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:32,533 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,534 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,534 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feclearexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,535 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fedisableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,536 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feenableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,537 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,538 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,539 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,540 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,540 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feholdexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,541 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feraiseexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,542 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,543 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,544 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,545 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fetestexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,546 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feupdateenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,547 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:32,547 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,548 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,549 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/llrintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,550 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,551 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/llroundf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,551 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,552 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/log2f.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,553 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_asinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,554 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_atan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,555 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cbrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,556 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,557 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,558 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,558 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_erf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,559 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_expm1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,560 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,561 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fdim.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,562 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,563 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,564 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,565 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,565 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_frexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,566 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ilogb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,567 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,568 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ldexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,569 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_log1p.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,570 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,571 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,572 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,573 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nextafter.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,574 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_remquo.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,574 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,575 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,576 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_scalbn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,577 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,578 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,579 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,580 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,581 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_asinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,582 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_atan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,582 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cbrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,583 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,584 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,585 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,586 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_erf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,587 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_expm1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,588 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,589 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fdim.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,589 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,590 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,591 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,592 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,593 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,594 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,595 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_frexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,596 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ilogb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,596 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,597 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinff.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,598 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,599 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,600 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ldexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,601 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_log1p.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,602 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_logb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,603 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,604 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,604 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,605 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,606 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nextafter.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,607 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_remquo.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,608 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,609 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,610 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_scalbn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,611 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,611 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,612 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,613 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,614 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,615 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,616 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_asin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,617 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atan2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,618 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,618 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_cosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,619 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,620 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,621 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_fmod.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,622 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,623 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,624 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,625 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,626 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,626 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_remainder.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,627 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sincos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,628 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,629 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,630 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_tgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,631 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,632 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,633 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_asin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,633 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atan2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,634 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,635 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_cosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,636 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,637 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,638 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_fmod.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,639 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,640 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,641 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,641 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,642 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,643 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_remainder.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,644 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sincos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,645 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,646 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,647 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_tgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:32,648 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,648 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acos.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,649 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,650 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,651 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,652 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,653 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,654 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,654 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,655 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asin.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,656 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asind2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,657 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,658 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,659 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,660 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,661 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,662 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,662 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,663 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,664 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,665 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,666 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,667 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atand2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,668 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,669 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,670 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,670 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,671 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,672 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,673 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrt.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,674 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrtf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,675 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceil.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,676 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceilf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,677 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysign.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,678 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysignf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,679 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,679 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos_sin.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,680 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,681 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,682 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,683 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,684 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,685 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,686 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,686 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,687 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,688 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_less_than.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,689 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_negone_one.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,690 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_less_than.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,691 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_negone_one.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,692 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,693 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf_utils.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,694 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfc.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,695 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,696 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,696 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,697 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,698 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,699 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,700 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,701 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,702 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,703 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,704 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,705 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,705 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,706 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,707 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,708 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,709 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,710 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,711 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabs.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,712 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabsf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,713 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdim.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,713 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdimf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,714 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feclearexcept.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,715 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fefpscr.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,716 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,717 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetexceptflag.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,718 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetround.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,719 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feholdexcept.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,720 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feraiseexcept.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,721 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,721 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetexceptflag.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,722 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetround.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,723 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fetestexcept.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,724 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feupdateenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,725 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floor.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,726 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floord2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,727 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,728 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,728 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fma.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,729 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,730 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmax.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,731 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaxf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,732 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmin.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,733 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fminf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,734 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmod.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,735 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmodf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,736 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexp.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,737 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexpf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,737 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypot.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,738 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,739 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,740 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,741 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogb.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,742 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogbf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,743 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnan.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,744 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnand2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,745 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,746 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,746 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexp.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,747 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,748 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,749 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,750 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgamma.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,751 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammad2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,752 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,753 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,754 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrint.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,755 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrintf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,756 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llround.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,757 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llroundf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,757 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,758 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,759 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,760 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,761 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1p.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,762 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,763 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,764 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,765 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,765 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,766 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,767 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,768 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,769 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,770 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,771 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,772 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,773 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrint.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,773 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrintf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,774 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lround.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,775 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lroundf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,776 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyint.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,777 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,778 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,779 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafter.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,780 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,781 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,781 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,782 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/pow.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,783 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,784 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,785 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,786 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,787 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,788 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainder.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,789 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainderf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,790 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquo.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,791 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquof.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,792 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rint.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,793 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,793 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,794 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/round.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,795 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/roundf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,796 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbn.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,797 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,798 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,799 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbit.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,800 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbitd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,801 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/simdmath.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,802 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sin.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,803 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincos.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,803 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,804 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,805 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,806 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sind2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,807 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,808 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,809 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,810 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,811 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,811 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,812 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrt.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,813 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,814 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,815 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,816 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tan.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,817 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tand2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,818 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,819 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,819 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,820 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,821 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,822 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,823 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgamma.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,824 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammad2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,825 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,826 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,827 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/trunc.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,828 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,829 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,829 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,830 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/vec_literal.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:32,831 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/config 2024-03-06 23:03:32,832 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/config/default.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/config 2024-03-06 23:03:32,833 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/include 2024-03-06 23:03:32,833 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/include/check.h -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/include 2024-03-06 23:03:32,834 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-03-06 23:03:32,834 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/lib/checkoutput.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-03-06 23:03:32,835 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/lib/flags.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-03-06 23:03:32,836 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/lib/newlib.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-03-06 23:03:32,837 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/lib/passfail.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-03-06 23:03:32,838 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/shared 2024-03-06 23:03:32,838 root INFO copying pythondata_software_picolibc/data/picocrt/shared/crt0.c -> build/lib/pythondata_software_picolibc/data/picocrt/shared 2024-03-06 23:03:32,839 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine 2024-03-06 23:03:32,840 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-03-06 23:03:32,840 root INFO copying pythondata_software_picolibc/data/picocrt/machine/aarch64/crt0.c -> build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-03-06 23:03:32,841 root INFO copying pythondata_software_picolibc/data/picocrt/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-03-06 23:03:32,842 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-03-06 23:03:32,842 root INFO copying pythondata_software_picolibc/data/picocrt/machine/x86/crt0-32.S -> build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-03-06 23:03:32,843 root INFO copying pythondata_software_picolibc/data/picocrt/machine/x86/crt0-64.S -> build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-03-06 23:03:32,844 root INFO copying pythondata_software_picolibc/data/picocrt/machine/x86/crt0.S -> build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-03-06 23:03:32,845 root INFO copying pythondata_software_picolibc/data/picocrt/machine/x86/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-03-06 23:03:32,846 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine/arm 2024-03-06 23:03:32,847 root INFO copying pythondata_software_picolibc/data/picocrt/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/picocrt/machine/arm 2024-03-06 23:03:32,847 root INFO copying pythondata_software_picolibc/data/picocrt/machine/arm/crt0.c -> build/lib/pythondata_software_picolibc/data/picocrt/machine/arm 2024-03-06 23:03:32,848 root INFO copying pythondata_software_picolibc/data/picocrt/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt/machine/arm 2024-03-06 23:03:32,849 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-03-06 23:03:32,850 root INFO copying pythondata_software_picolibc/data/picocrt/machine/riscv/crt0.c -> build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-03-06 23:03:32,851 root INFO copying pythondata_software_picolibc/data/picocrt/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-03-06 23:03:32,851 root INFO creating build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:32,852 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/basename.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:32,853 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/dirname.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:32,854 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/fnmatch.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:32,855 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/meson.build -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:32,856 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/qsort.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:32,856 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/snprintf.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:32,857 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/sscanf.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:32,858 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/string.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:32,859 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/strtod.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:32,860 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/strtol.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:32,861 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/testcase.h -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:32,862 root INFO creating build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,862 root INFO copying pythondata_software_picolibc/data/test/semihost/meson.build -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,863 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-argv.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,864 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-clock.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,865 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-close.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,866 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-elapsed.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,867 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-errno.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,867 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-exit-extended-failure.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,868 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-exit-extended.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,869 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-exit-failure.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,870 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-exit.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,871 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-flen.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,872 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-get-cmdline.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,873 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-gettimeofday.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,874 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-heapinfo.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,874 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-iserror.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,875 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-istty.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,876 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-open.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,877 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-read.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,878 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-readc.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,879 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-remove.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,880 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-rename.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,880 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-seek.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,881 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-system-failure.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,882 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-system.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,883 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-tickfreq.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,884 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-time.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,885 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-tmpnam.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,886 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-tmpname.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,886 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-write.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,887 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-write0.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:32,888 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-writec.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:33,025 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:03:33,026 root INFO running install 2024-03-06 23:03:33,106 root INFO running install_lib 2024-03-06 23:03:33,117 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:03:33,117 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:03:33,118 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc 2024-03-06 23:03:33,118 root INFO copying build/lib/pythondata_software_picolibc/__init__.py -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc 2024-03-06 23:03:33,119 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:33,120 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/dummyhost 2024-03-06 23:03:33,121 root INFO copying build/lib/pythondata_software_picolibc/data/dummyhost/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/dummyhost 2024-03-06 23:03:33,121 root INFO copying build/lib/pythondata_software_picolibc/data/dummyhost/iob.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/dummyhost 2024-03-06 23:03:33,122 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/zephyr 2024-03-06 23:03:33,123 root INFO copying build/lib/pythondata_software_picolibc/data/zephyr/module.yml -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/zephyr 2024-03-06 23:03:33,124 root INFO copying build/lib/pythondata_software_picolibc/data/zephyr/Kconfig -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/zephyr 2024-03-06 23:03:33,124 root INFO copying build/lib/pythondata_software_picolibc/data/zephyr/zephyr.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/zephyr 2024-03-06 23:03:33,125 root INFO copying build/lib/pythondata_software_picolibc/data/COPYING.NEWLIB -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:33,126 root INFO copying build/lib/pythondata_software_picolibc/data/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:33,127 root INFO copying build/lib/pythondata_software_picolibc/data/COPYING.GPL2 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:33,128 root INFO copying build/lib/pythondata_software_picolibc/data/CODE_OF_CONDUCT.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:33,129 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,129 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_system.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,130 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_tmpnam.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,131 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,132 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine 2024-03-06 23:03:33,132 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-03-06 23:03:33,133 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-03-06 23:03:33,133 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64/semihost-aarch64.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-03-06 23:03:33,134 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:33,135 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/e9_stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:33,136 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/bios.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:33,136 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/e9_exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:33,137 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/bios.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:33,138 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:33,139 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/e9_kill.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:33,139 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/e9_io.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-03-06 23:03:33,140 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/arm 2024-03-06 23:03:33,141 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/arm/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/arm 2024-03-06 23:03:33,141 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/arm/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/arm 2024-03-06 23:03:33,142 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/arm/semihost-arm.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/arm 2024-03-06 23:03:33,143 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/riscv 2024-03-06 23:03:33,144 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/riscv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/riscv 2024-03-06 23:03:33,144 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/riscv/semihost-riscv.s -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/machine/riscv 2024-03-06 23:03:33,145 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_tickfreq.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,146 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_write.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,147 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,147 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/lseek.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,148 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_remove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,149 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_exit_extended.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,150 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/getentropy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,150 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_errno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,151 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_seek.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,152 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-03-06 23:03:33,152 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/fake_kill.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-03-06 23:03:33,153 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/fake_exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-03-06 23:03:33,154 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/fake_io.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-03-06 23:03:33,155 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/fake_stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-03-06 23:03:33,155 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-03-06 23:03:33,156 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_write0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,157 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_feature.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,158 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/lseek64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,158 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/isatty.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,159 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/read.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,160 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_putc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,161 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/gettimeofday.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,161 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_iserror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,162 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_clock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,163 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_close.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,164 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,164 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/iob.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,165 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/open.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,166 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,167 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_read.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,167 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/mapstdio.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,168 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/close.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,169 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/write.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,170 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_flen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,170 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/unlink.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,171 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_heapinfo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,172 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_rename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,173 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_time.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,173 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_istty.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,174 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_open.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,175 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fstat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,176 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_elapsed.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,176 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_getc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,177 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/semihost.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,178 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_get_cmdline.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,179 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/semihost-private.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,179 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/kill.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/semihost 2024-03-06 23:03:33,180 root INFO copying build/lib/pythondata_software_picolibc/data/cross.tmpl -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:33,181 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:33,182 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-attribute-always-inline.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:33,182 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:33,183 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-attribute-gnu-inline.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:33,184 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/ldbl-eq-dbl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:33,185 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-alias-attribute.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:33,185 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/picolibc.cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:33,186 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-alloc-size.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:33,187 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-long-double.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:33,188 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/cmake 2024-03-06 23:03:33,188 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:33,189 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-03-06 23:03:33,190 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,190 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,191 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,192 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/mkcategories -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,193 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype_cp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,194 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towupper.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,194 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,195 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towctrans.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towctrans_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,197 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,197 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,198 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/mkcaseconv -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isalpha_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,200 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,200 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,201 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/toupper_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,202 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,203 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isdigit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,204 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isascii.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,204 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isspace.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswupper_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,206 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/wctype_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isblank_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswlower.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,208 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isupper_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,209 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isascii_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,210 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towlower_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,210 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswupper.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,211 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isdigit_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,212 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isalnum.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/tolower.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,214 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswprint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,215 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isupper.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,216 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isblank.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswprint_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,218 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,219 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype_iso.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswspace_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,222 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towlower.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,223 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isspace_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,224 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ispunct.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,224 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/tolower_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,225 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/toupper.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,226 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswlower_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/categories.cat -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,228 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/islower_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,229 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/toascii.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswblank_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isalnum_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,231 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/islower.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,232 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/wctrans_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/wctrans.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,234 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isalpha.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,234 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,235 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,236 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswspace.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,237 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,237 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswctype_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,238 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isprint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,239 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/categories.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/wctype.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,241 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/mkunidata -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,242 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/caseconv.t -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,244 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswblank.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/toascii_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,247 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,249 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/categories.t -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,250 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towupper_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,250 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/categories.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,251 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,252 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,253 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswctype.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ispunct_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isprint_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-03-06 23:03:33,255 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine 2024-03-06 23:03:33,256 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine 2024-03-06 23:03:33,257 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:33,257 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/signal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:33,258 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/getreent.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:33,259 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:33,260 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:33,260 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit-value.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:33,261 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/abort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:33,262 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/mlock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-03-06 23:03:33,263 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/d10v 2024-03-06 23:03:33,263 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d10v/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/d10v 2024-03-06 23:03:33,264 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/iq2000 2024-03-06 23:03:33,265 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/iq2000/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/iq2000 2024-03-06 23:03:33,266 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/fr30 2024-03-06 23:03:33,266 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/fr30/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/fr30 2024-03-06 23:03:33,267 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:33,267 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/cmpsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:33,268 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/lshrhi.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:33,269 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/divsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:33,270 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/umodhi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:33,271 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/sdivhi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:33,271 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/mulsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:33,272 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/udivhi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:33,273 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/smulhi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-03-06 23:03:33,274 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:33,274 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:33,275 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:33,276 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:33,277 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/abort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:33,277 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:33,278 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-03-06 23:03:33,279 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mt 2024-03-06 23:03:33,280 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mt/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mt 2024-03-06 23:03:33,281 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m32r 2024-03-06 23:03:33,281 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32r/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m32r 2024-03-06 23:03:33,282 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:33,282 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:33,283 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:33,284 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:33,285 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:33,286 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:33,286 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:33,287 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:33,288 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:33,289 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-03-06 23:03:33,289 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic80 2024-03-06 23:03:33,290 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic80/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic80 2024-03-06 23:03:33,291 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic6x 2024-03-06 23:03:33,291 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic6x/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic6x 2024-03-06 23:03:33,292 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-03-06 23:03:33,293 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-03-06 23:03:33,294 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/include 2024-03-06 23:03:33,294 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/include/pthread.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/include 2024-03-06 23:03:33,295 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/libcdtor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-03-06 23:03:33,296 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/setjmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-03-06 23:03:33,297 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-03-06 23:03:33,297 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/errno.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-03-06 23:03:33,298 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/fcntl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-03-06 23:03:33,299 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/signal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-03-06 23:03:33,300 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-03-06 23:03:33,301 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-03-06 23:03:33,301 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m88k 2024-03-06 23:03:33,302 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m88k/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m88k 2024-03-06 23:03:33,303 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/frv 2024-03-06 23:03:33,303 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/frv/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/frv 2024-03-06 23:03:33,304 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:33,305 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:33,306 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:33,306 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:33,307 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:33,308 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:33,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/strcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:33,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/m68kasm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-03-06 23:03:33,310 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-03-06 23:03:33,311 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-03-06 23:03:33,311 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-03-06 23:03:33,312 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/libh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-03-06 23:03:33,313 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/syscall.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-03-06 23:03:33,314 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-03-06 23:03:33,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/getenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-03-06 23:03:33,315 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/pru 2024-03-06 23:03:33,316 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/pru/setjmp.s -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/pru 2024-03-06 23:03:33,317 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic4x 2024-03-06 23:03:33,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic4x/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic4x 2024-03-06 23:03:33,318 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-03-06 23:03:33,319 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-03-06 23:03:33,319 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-03-06 23:03:33,320 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2/setjmp.s -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-03-06 23:03:33,321 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m32c 2024-03-06 23:03:33,322 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32c/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m32c 2024-03-06 23:03:33,323 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:33,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:33,324 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:33,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:33,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:33,326 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:33,327 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-03-06 23:03:33,328 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,328 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,329 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-03-06 23:03:33,330 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-03-06 23:03:33,331 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-03-06 23:03:33,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/fenv-fp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-03-06 23:03:33,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/_types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-03-06 23:03:33,333 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-03-06 23:03:33,334 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,335 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,335 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,336 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,337 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,338 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,338 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,339 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,340 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,341 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,342 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-03-06 23:03:33,342 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-03-06 23:03:33,343 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-03-06 23:03:33,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-03-06 23:03:33,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fcntl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-03-06 23:03:33,345 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,347 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,348 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,348 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,349 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,350 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,351 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,351 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,352 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,353 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,355 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,355 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,357 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,359 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,359 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,360 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,361 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,362 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-03-06 23:03:33,363 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-03-06 23:03:33,363 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-03-06 23:03:33,364 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-03-06 23:03:33,365 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:33,365 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:33,366 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/strncpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:33,367 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:33,368 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:33,369 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:33,369 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:33,370 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:33,371 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-03-06 23:03:33,372 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/v850 2024-03-06 23:03:33,372 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/v850/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/v850 2024-03-06 23:03:33,373 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:33,374 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:33,374 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:33,375 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:33,376 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/abort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:33,377 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:33,378 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/longjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:33,378 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/mb_endian.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-03-06 23:03:33,379 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/d30v 2024-03-06 23:03:33,380 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d30v/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/d30v 2024-03-06 23:03:33,381 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-03-06 23:03:33,381 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine 2024-03-06 23:03:33,382 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine/fenv-fp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine 2024-03-06 23:03:33,383 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys 2024-03-06 23:03:33,383 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys 2024-03-06 23:03:33,384 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-03-06 23:03:33,385 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-03-06 23:03:33,386 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,386 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-archs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,387 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,388 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs-norm.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,388 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs-norm.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,389 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,390 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,391 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-bs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,391 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,392 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,393 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,394 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-archs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,395 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,395 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-bs-norm.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,396 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,397 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,398 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,398 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-archs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,399 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,400 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,401 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,401 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,402 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-bs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,403 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,404 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs-arc600.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,405 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,405 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,406 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-bs.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,407 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,408 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,408 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,409 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,410 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,411 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-03-06 23:03:33,412 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/epiphany 2024-03-06 23:03:33,412 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/epiphany/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/epiphany 2024-03-06 23:03:33,413 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,414 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strncmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,414 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strncpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,415 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strncat.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,416 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/mempcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,417 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strcat.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,417 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,418 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,419 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,420 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,420 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,421 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,422 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,423 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/memmove.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-03-06 23:03:33,424 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,424 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-03-06 23:03:33,425 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-03-06 23:03:33,426 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-03-06 23:03:33,426 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/fastmath.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-03-06 23:03:33,427 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,428 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-64.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,429 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,429 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,430 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,431 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,432 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,432 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/x86_64mach.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,433 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,434 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-64.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,435 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,436 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,436 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,437 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-03-06 23:03:33,438 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-03-06 23:03:33,438 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-03-06 23:03:33,439 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-03-06 23:03:33,440 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,441 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,442 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/i386mach.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,442 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,443 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,444 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,445 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,445 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,446 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,447 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,448 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-64.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,448 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,449 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,450 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,451 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-03-06 23:03:33,451 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,452 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,453 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,454 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncat.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,454 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcat.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,455 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,456 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,457 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,457 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,458 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/pcc_prefix.s -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,459 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,460 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,461 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,461 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/DEFS.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,462 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-03-06 23:03:33,463 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,464 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,464 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,465 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,466 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,467 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,468 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,468 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,469 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,470 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,471 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,471 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,472 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,473 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strdup.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,474 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,475 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,475 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,476 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,477 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,478 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,479 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,479 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strrchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,480 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,481 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,482 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcspn.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,482 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,483 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,484 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy_ca.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,485 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strpbrk.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-03-06 23:03:33,486 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-03-06 23:03:33,486 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-03-06 23:03:33,487 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-03-06 23:03:33,488 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/moxie 2024-03-06 23:03:33,488 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/moxie/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/moxie 2024-03-06 23:03:33,489 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-03-06 23:03:33,490 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-03-06 23:03:33,490 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-03-06 23:03:33,491 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-03-06 23:03:33,492 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/sparclet.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-03-06 23:03:33,493 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-03-06 23:03:33,494 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/shuffle.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-03-06 23:03:33,494 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-03-06 23:03:33,495 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-03-06 23:03:33,496 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-03-06 23:03:33,497 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-03-06 23:03:33,497 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-03-06 23:03:33,498 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/scan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-03-06 23:03:33,499 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-03-06 23:03:33,500 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10200 2024-03-06 23:03:33,500 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10200/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10200 2024-03-06 23:03:33,501 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,502 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb1-Os.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,503 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:33,503 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/_endian.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:33,504 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:33,505 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/param.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:33,505 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:33,506 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/acle-compat.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:33,507 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-03-06 23:03:33,508 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,509 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,509 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7m.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,510 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,511 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7a.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,512 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,513 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,514 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,514 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-03-06 23:03:33,515 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-03-06 23:03:33,516 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-03-06 23:03:33,517 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-03-06 23:03:33,517 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,518 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,519 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,520 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4t.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,520 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,521 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,522 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/acle-compat.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,523 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,524 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6m.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,524 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/arm_asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,525 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-arm-tiny.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,526 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,527 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/bzero.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,527 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,528 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,529 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memcpy-armv7a.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,530 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,531 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,531 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,532 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,533 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-armv7.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,534 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb2-Os.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,535 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-arm.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,535 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,536 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7m.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-03-06 23:03:33,537 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:33,538 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:33,538 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:33,539 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:33,540 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/args.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:33,541 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/memmove.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:33,541 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-03-06 23:03:33,542 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:33,543 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:33,544 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:33,544 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:33,545 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:33,546 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:33,547 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/stpcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:33,547 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-03-06 23:03:33,548 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:33,549 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:33,549 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:33,550 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:33,551 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/regdef.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:33,552 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:33,552 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-softfloat.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:33,553 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-fp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-03-06 23:03:33,554 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:33,555 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:33,556 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:33,557 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-03-06 23:03:33,557 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-03-06 23:03:33,558 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-03-06 23:03:33,559 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-03-06 23:03:33,559 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:33,560 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:33,561 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:33,562 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:33,563 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/strncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-03-06 23:03:33,563 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-03-06 23:03:33,564 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-03-06 23:03:33,565 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x/puts.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-03-06 23:03:33,566 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x/putchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-03-06 23:03:33,566 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/or1k 2024-03-06 23:03:33,567 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/or1k/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/or1k 2024-03-06 23:03:33,568 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-03-06 23:03:33,568 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-03-06 23:03:33,569 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin/longjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-03-06 23:03:33,570 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,571 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-03-06 23:03:33,571 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-03-06 23:03:33,572 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-03-06 23:03:33,573 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-03-06 23:03:33,574 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,574 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,575 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove-stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,576 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy-asm.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,577 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,577 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-03-06 23:03:33,578 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-03-06 23:03:33,579 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-03-06 23:03:33,579 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-03-06 23:03:33,580 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/string.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-03-06 23:03:33,581 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-03-06 23:03:33,582 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,582 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/ieeefp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,583 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,584 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,585 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,586 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,586 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-03-06 23:03:33,587 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:33,588 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/cmpsi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:33,588 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/shifts.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:33,589 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/divsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:33,590 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulsi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:33,591 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:33,592 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/psi.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:33,592 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/divhi3.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:33,593 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulhi3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:33,594 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/negsi2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-03-06 23:03:33,595 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mep 2024-03-06 23:03:33,595 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mep/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mep 2024-03-06 23:03:33,596 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-03-06 23:03:33,597 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine 2024-03-06 23:03:33,597 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine/registers.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine 2024-03-06 23:03:33,598 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-03-06 23:03:33,599 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/fastmath.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-03-06 23:03:33,600 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/necv70.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-03-06 23:03:33,601 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,601 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/tiny-malloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,602 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,603 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mallocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,604 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,604 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,605 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/valloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,606 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mstats.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,607 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/freer.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,607 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/realloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,608 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/msize.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,609 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/calloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,610 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/reallocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,611 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/cfree.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,611 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/callocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-03-06 23:03:33,612 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/lm32 2024-03-06 23:03:33,613 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/lm32/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/lm32 2024-03-06 23:03:33,614 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:33,614 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-03-06 23:03:33,615 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-03-06 23:03:33,615 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/core-isa.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-03-06 23:03:33,616 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-03-06 23:03:33,617 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:33,618 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strncpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:33,619 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:33,619 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-03-06 23:03:33,620 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-03-06 23:03:33,621 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-03-06 23:03:33,621 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-03-06 23:03:33,622 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:33,623 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:33,624 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:33,625 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:33,625 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa-asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:33,626 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strlen.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:33,627 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:33,628 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-03-06 23:03:33,629 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,629 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strxfrm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,630 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,631 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,632 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,633 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/getchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,633 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine 2024-03-06 23:03:33,634 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine/_types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine 2024-03-06 23:03:33,635 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timebase.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,636 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sscanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,636 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/mk_syscalls -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,637 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,638 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/munmap_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,639 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fputs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,639 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/clearerr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,640 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,641 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,642 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,643 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/writev_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,643 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,644 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/posix_memalign_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,645 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,646 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,646 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sniprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,647 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,648 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fread.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,649 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fiscanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,649 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,650 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,651 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/pwrite_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,652 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/putc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,653 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,653 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,654 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/straddr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,655 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-03-06 23:03:33,656 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-03-06 23:03:33,656 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include/ea.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-03-06 23:03:33,657 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include/spu_timer.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-03-06 23:03:33,658 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,659 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/setvbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,660 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/readv_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,660 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/freopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,661 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,662 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,663 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fflush.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,663 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fwrite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,664 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/viprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,665 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/gets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,666 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/setbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,666 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/iprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,667 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,668 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fscanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,669 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/mmap_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,669 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,670 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fdopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,671 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fseek.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,672 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/rename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,673 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:33,673 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/sched.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:33,674 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/utime.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:33,675 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/custom_file.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:33,676 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:33,676 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/errno.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:33,677 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/mman.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:33,678 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/linux_syscalls.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:33,679 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/uio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:33,680 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/dirent.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:33,680 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/syscall.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-03-06 23:03:33,681 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_svcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,682 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,683 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/read_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,683 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/calloc_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,684 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/ferror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,685 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetpos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,686 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,686 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/siprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,687 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/siscanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,688 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,689 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/getc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,689 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpfile.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,690 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_free.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,691 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/puts.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,692 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_stop.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,692 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/free_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,693 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,694 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,695 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,696 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fileno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,696 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,697 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,698 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fgets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,699 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/iscanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,700 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,700 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/ftell.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,701 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/assert.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,702 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/remove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,703 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_flih.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,703 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/creat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,704 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fsetpos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,705 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/realloc_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,706 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/mremap_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,707 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/stdio.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,707 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,708 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,709 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/printf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,710 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memset_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,710 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/perror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,711 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/scanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,712 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vfprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,713 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fputc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,714 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/ungetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,714 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,715 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/rewind.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,716 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/putchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,717 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,717 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,718 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,719 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/stack_reg_va.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,720 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,721 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fclose.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,721 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sleep.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,722 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/write_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,723 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,724 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memchr_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,725 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsnprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,725 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/c99ppe.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,726 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,727 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,728 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/snprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,728 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/msync_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,729 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/pread_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,730 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,731 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vfscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,732 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,732 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsniprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,733 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,734 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,735 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_stop.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,735 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vec_literal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,736 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,737 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-gmon.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,738 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih_reg.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,739 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,739 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_svcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,740 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/usleep.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,741 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/feof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,742 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/syscall.def -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,742 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fiprintf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,743 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,744 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/ea_internal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,745 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/malloc_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,746 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/viscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,746 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpnam.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,747 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-mcount.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,748 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,749 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,749 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strstr_ea.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-03-06 23:03:33,750 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rl78 2024-03-06 23:03:33,751 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rl78/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rl78 2024-03-06 23:03:33,752 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-03-06 23:03:33,752 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-03-06 23:03:33,753 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/asm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-03-06 23:03:33,754 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/libh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-03-06 23:03:33,755 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/syscall.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-03-06 23:03:33,755 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-03-06 23:03:33,756 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/getenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-03-06 23:03:33,757 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11 2024-03-06 23:03:33,758 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11 2024-03-06 23:03:33,759 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:33,759 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:33,760 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:33,761 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/defines.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:33,761 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/memset.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:33,762 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/setjmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:33,763 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/memcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:33,764 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/setarch.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:33,764 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/strcmp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:33,765 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/h8sx_strcpy.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-03-06 23:03:33,766 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,767 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/malloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,767 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/mallocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,768 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/misc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,769 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/free.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,770 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/puts.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,771 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,771 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/assert.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,772 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/write.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,773 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/realloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/putchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/abort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,775 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/calloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,776 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/clock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,777 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/reallocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,777 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/callocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,778 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/printf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-03-06 23:03:33,779 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-03-06 23:03:33,780 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,781 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,781 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_usable_size.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,782 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atoll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,783 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallinfo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbsrtowcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,785 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/environ.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,786 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,787 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/aligned_alloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,788 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,788 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoul.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,789 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/reallocf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,790 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/__call_atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,791 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-hexnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,791 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,792 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/stdlib.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,793 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/quick_exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,794 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/std.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,795 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_usable_size.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,795 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,796 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,797 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-free.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,798 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallinfo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,798 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,799 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/llabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,800 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-calloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,801 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcsrtombs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,801 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rand.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,802 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-pvalloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,803 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoimax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,804 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_stats.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,805 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/ldtoa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,806 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nrand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,806 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gmisc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,807 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/abs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,808 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstold.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,809 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-realloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,809 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/random.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,810 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbrlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,811 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random_uniform.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,812 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/lcong48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,812 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-calloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,813 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-valloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,814 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mtrim.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,814 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-cxa-atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,815 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtold.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,816 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/srandom.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,817 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gethex.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,818 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbsinit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,818 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-cfree.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,819 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getopt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,820 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbstowcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,821 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/srand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,821 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,822 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.3 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,823 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbsnrtowcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,824 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/sb_charsets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,824 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallopt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,825 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mallocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,826 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,827 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbctype.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,828 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-free.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,828 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/div.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,829 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,830 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wctob.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,831 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mblen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,832 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,832 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/erand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,833 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/chacha_private.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,834 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,835 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/ecvtbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,835 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,836 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/putenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,837 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,838 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,839 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoimax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,839 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-ldtoa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,840 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/itoa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,841 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rand_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,842 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoul.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,842 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,843 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-getpagesize.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,844 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,845 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstombs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,845 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/efgcvt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,846 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/system.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,847 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-realloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,848 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-valloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,848 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/lrand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,849 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,850 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/assert.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,851 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mul_overflow.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,851 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,852 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,853 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mstats.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,854 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,854 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/btowc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,855 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rpmatch.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,856 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/seed48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,857 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,858 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-posix_memalign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,858 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-dmisc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,859 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/__atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,860 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbrtowc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,861 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcsnrtombs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,861 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/lldiv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,862 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,863 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,864 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,864 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,865 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/reallocarray.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,866 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,867 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gdtoa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,867 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtorx.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,868 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/utoa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,869 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/eprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,870 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallopt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,870 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/abort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,871 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_stats.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,872 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,873 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,874 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/calloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,874 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,875 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/imaxdiv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,876 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/ldiv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,877 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoaimp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,877 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/jrand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,878 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/dtoa.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,879 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/drand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,880 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,880 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/l64a.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,881 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-mallocr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,882 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/setenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,883 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-cfree.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,884 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/imaxabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,884 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-pvalloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,885 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtodg.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,886 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-memalign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,887 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcrtomb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,888 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,888 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,889 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,890 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_finalize.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,891 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-memalign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,891 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atoi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,892 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/a64l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,893 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoumax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,894 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getenv_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,894 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atoff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,895 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,896 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoumax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,897 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,898 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,898 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mrand48.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,899 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoull.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,900 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/labs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,901 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/_Exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,901 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,902 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,903 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/srand.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-03-06 23:03:33,904 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,904 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,905 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_next.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,906 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_replace.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,907 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_strip.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,907 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_entry.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,908 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_add.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,909 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_extract.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,910 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_create.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,910 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,911 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_append.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,912 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_remove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,913 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_delete.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,913 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_get.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,914 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,915 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_add.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,916 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_stringify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,916 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,917 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_add_sep.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,918 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_merge.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,919 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_count.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,919 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_insert.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,920 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_create_sep.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-03-06 23:03:33,921 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:33,922 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/freopen64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:33,922 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fsetpos64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:33,923 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fdopen64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:33,924 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fgetpos64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:33,925 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fseeko64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:33,925 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fopen64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:33,926 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:33,927 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:33,928 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/ftello64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:33,928 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:33,929 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/tmpfile64.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-03-06 23:03:33,930 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,931 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sched.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,931 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/fnmatch.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,932 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/iconv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,933 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/utime.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,934 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/paths.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,935 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/termios.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,935 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,936 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/ansi.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,937 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/termios.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,937 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/endian.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,938 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_endian.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,939 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,940 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/malloc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,941 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_default_types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,941 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/param.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,942 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/stdlib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,943 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,944 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_time.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,944 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/ieee.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,945 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp-dj.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,946 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/fastmath.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,947 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/ieeefp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,947 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,948 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_arc4random.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,949 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,950 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,951 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,951 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/time.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-03-06 23:03:33,952 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/bits 2024-03-06 23:03:33,953 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/bits/types 2024-03-06 23:03:33,953 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/bits/types/mbstate_t.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/bits/types 2024-03-06 23:03:33,954 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/endian.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,955 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,956 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/envlock.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,956 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/regdef.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,957 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/_newlib_version.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,958 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/libgen.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,959 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/limits.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,959 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/complex.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,960 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/assert.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,961 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/unctrl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,962 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,962 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/pwd.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,963 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/malloc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,964 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/_ansi.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,965 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/spawn.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,966 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/wchar.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,966 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/inttypes.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:33,967 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,968 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/sched.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/utime.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_timeval.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/custom_file.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/queue.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/file.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/features.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,974 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/iconvnls.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,975 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_stdint.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_default_fcntl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/timespec.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,977 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,978 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/timeb.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,979 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/lock.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/times.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/param.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/wait.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,982 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/string.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/errno.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/dir.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,984 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,985 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_locale.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/config.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/cdefs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,987 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/syslimits.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/auxv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/fcntl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,990 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/unistd.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,990 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_intsup.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,991 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/resource.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,993 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/signal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,993 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/select.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,994 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_tz_structs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,996 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/dirent.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_timespec.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/tree.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_sigset.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:33,999 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/stat.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:34,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/time.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-03-06 23:03:34,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/stdlib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,001 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/search.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,002 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/string.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,003 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/errno.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,003 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ndbm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/stdint.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,005 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/wordexp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,007 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/wctype.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,007 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:34,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:34,009 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/stdio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:34,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/wchar.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:34,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/stdlib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:34,011 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/string.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:34,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:34,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/ssp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:34,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/unistd.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:34,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/strings.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-03-06 23:03:34,015 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/byteswap.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,016 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/_syslist.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,016 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/elf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,017 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/langinfo.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,018 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/envz.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,019 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/regex.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/fcntl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/memory.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,021 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/unistd.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,022 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/newlib.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ctype.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/glob.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/strings.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,025 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/fastmath.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,026 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ieeefp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,026 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/threads.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,027 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ar.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,028 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/alloca.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,029 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/signal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,029 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ftw.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/setjmp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,031 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/grp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,032 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/getopt.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,033 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/picotls.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,033 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/devctl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,034 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/tar.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,035 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/locale.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,036 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/dirent.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,036 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-03-06 23:03:34,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-03-06 23:03:34,038 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-03-06 23:03:34,038 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa/inet.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-03-06 23:03:34,039 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,040 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-03-06 23:03:34,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-03-06 23:03:34,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-03-06 23:03:34,042 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc/xdr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-03-06 23:03:34,043 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc/types.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-03-06 23:03:34,044 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/cpio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,044 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/argz.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/time.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,046 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/utmp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-03-06 23:03:34,047 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:34,047 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/misc.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:34,048 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:34,049 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/unctrl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:34,050 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/fini.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:34,050 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/ffs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:34,051 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/__dprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:34,052 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:34,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/init.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:34,054 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/lock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-03-06 23:03:34,054 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-03-06 23:03:34,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-03-06 23:03:34,056 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,057 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,057 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1253.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,058 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1250.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,060 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,060 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,062 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1252.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,063 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,064 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp866.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp855.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,066 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1254.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,066 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1251.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_8.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,068 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1256.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,069 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_11.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,070 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_15.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,072 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_14.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,073 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/big5.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,075 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1257.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,076 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsnames.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,077 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ksx1001.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,079 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0212_1990.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,082 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_3.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,083 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,084 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/mktbl.pl -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,085 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,086 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane14.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,088 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0201_1976.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,088 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_5.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,089 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_9.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,090 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_uni.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,091 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp850.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,091 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_ru.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,092 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,093 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/ksx1001.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,095 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/big5.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,096 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_3.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,097 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,098 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane2.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,099 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1254.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,100 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_6.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,101 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1250.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,101 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_4.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,102 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane1.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,104 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1253.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,104 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1255.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,105 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane14.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,106 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp855.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,107 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp866.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,108 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_15.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,109 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp775.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,109 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_11.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,110 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_2.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1251.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,112 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_13.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,112 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_14.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,113 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp852.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,114 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_ir_111.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,115 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_u.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,115 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_10.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,116 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,117 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_1.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,118 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1257.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,119 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1252.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,119 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_r.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,120 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0212_1990.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,121 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1256.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,122 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0201_1976.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,123 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_ru.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,124 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0208_1990.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,125 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_8.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,126 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_5.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,127 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_9.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,127 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_7.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,128 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1258.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,129 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_uni.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,130 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp850.cct -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-03-06 23:03:34,131 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,133 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_ir_111.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,134 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_13.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,134 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp852.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,135 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,136 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1258.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,137 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1255.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,137 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,138 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_6.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,139 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_7.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,140 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0208_1990.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,142 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp775.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-03-06 23:03:34,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-03-06 23:03:34,144 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,145 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,146 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/encnames.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,147 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/endian.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,147 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,148 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,149 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,150 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesbi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,151 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/conv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,152 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,152 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,153 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,154 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/encoding.deps -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,155 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/nullconv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,156 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,156 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-03-06 23:03:34,157 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,158 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,159 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,159 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/euc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,160 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-8.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,161 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/table-pcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,162 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/us-ascii.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,163 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4-internal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,163 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,164 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,165 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesdeps.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,166 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,166 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2-internal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,167 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/table.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,168 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-16.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,169 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,170 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/mkdeps.pl -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-03-06 23:03:34,171 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/iconv.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-03-06 23:03:34,171 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/encoding.aliases -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-03-06 23:03:34,172 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,173 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/lmessages.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,174 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/lmonetary.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,174 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,175 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.3 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,176 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/locale.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,177 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/duplocale.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,177 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,178 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/timelocal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,179 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/freelocale.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,180 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,181 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/uselocale.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,181 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/lctype.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,182 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/setlocale.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,183 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/localeconv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,184 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/lnumeric.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,184 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/newlocale.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,185 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/locale.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-03-06 23:03:34,186 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys 2024-03-06 23:03:34,187 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems 2024-03-06 23:03:34,187 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-03-06 23:03:34,188 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys 2024-03-06 23:03:34,188 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys/poll.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys 2024-03-06 23:03:34,189 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/poll.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-03-06 23:03:34,190 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/README -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-03-06 23:03:34,191 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:34,192 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine 2024-03-06 23:03:34,192 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine 2024-03-06 23:03:34,193 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-03-06 23:03:34,193 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-03-06 23:03:34,194 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/tls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-03-06 23:03:34,195 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-03-06 23:03:34,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-03-06 23:03:34,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-03-06 23:03:34,197 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/tls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-03-06 23:03:34,198 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:34,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:34,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/read_tp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:34,200 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:34,201 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/arm_tls.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:34,202 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/interrupt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:34,202 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/tls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-03-06 23:03:34,203 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-03-06 23:03:34,204 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-03-06 23:03:34,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-03-06 23:03:34,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/tls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-03-06 23:03:34,206 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-03-06 23:03:34,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-03-06 23:03:34,208 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-03-06 23:03:34,208 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/tls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-03-06 23:03:34,209 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:34,210 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/inittls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:34,211 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/picosbrk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:34,211 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/dso_handle.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:34,212 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:34,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/getauxval.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-03-06 23:03:34,214 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-03-06 23:03:34,214 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/libc.in.xml -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-03-06 23:03:34,216 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,216 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vasprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,217 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:34,218 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu_parse.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:34,218 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/d2s_intrinsics.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:34,219 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/f2s_intrinsics.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:34,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/digit_table.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:34,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/common.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:34,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-03-06 23:03:34,222 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_ryu.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,223 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,224 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintfd.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,225 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/getchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,225 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fputs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,226 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/clearerr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,228 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,228 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,229 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,231 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_engine.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,232 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,232 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vsprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fseeko.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,234 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,235 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintfd.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,235 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoimax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,236 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fread.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,237 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,238 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_engine.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,238 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrput.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,239 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,241 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/xtoa_fast.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,241 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,242 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/matchcaseprefix.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,244 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/setvbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/freopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,247 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fdevopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,249 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,250 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fflush.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,251 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fwrite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,251 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/gets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,252 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoi.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,253 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_umul128.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,255 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,256 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vsscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,257 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/setlinebuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,257 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fdopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,258 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fseek.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,259 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ferror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,260 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ultoa_invert.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,261 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,261 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,262 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/compare_exchange.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,263 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,264 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpfile.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,264 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromd.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,265 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvtf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,266 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/puts.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,267 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_table.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,267 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,268 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fileno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,269 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/make-dtoa-data -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,270 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,270 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fgets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,271 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,272 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftell.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,273 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/remove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,274 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_divpow2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,274 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/conv_flt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,275 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,276 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_pow5bits.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,277 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,277 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/perror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,278 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,279 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,280 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,280 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,281 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sflags.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,282 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fputc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,283 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ungetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,284 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/rewind.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,284 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrputalloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,285 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/putchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,286 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,287 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftello.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,287 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,288 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fclose.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,289 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,290 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_ryu.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,290 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio-bufio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,291 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vsnprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,292 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,293 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/exchange.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,294 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,294 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/bufio.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,295 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,296 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf_private.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,297 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,297 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,298 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,299 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,300 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,301 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,301 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,302 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log2pow5.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,303 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,304 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/asprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,304 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoumax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,305 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_ryu.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,306 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atold_engine.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,307 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/printf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,308 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fmemopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,308 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,310 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/posixiob.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,311 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/feof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,311 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuffer.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,312 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,313 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,314 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fgetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,314 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrget.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/mktemp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,316 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpnam.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_ryu.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,318 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio_private.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-03-06 23:03:34,319 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,320 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/mempcpy_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,320 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/vsprintf_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,321 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/stpcpy_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,322 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/sprintf_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/stack_protector.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,324 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/chk_fail.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/memset_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,326 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/gets_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,326 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/ssp.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,327 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/strncat_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,328 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/vsnprintf_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,329 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,330 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/strncpy_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,330 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/snprintf_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,331 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/strcat_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/strcpy_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,333 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/memcpy_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,333 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/stpncpy_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,334 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/memmove_chk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-03-06 23:03:34,335 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/errno 2024-03-06 23:03:34,336 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/errno/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/errno 2024-03-06 23:03:34,336 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/errno/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/errno 2024-03-06 23:03:34,337 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/errno/errno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/errno 2024-03-06 23:03:34,338 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/reent 2024-03-06 23:03:34,338 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/reent/reent.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/reent 2024-03-06 23:03:34,339 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/reent/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/reent 2024-03-06 23:03:34,340 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/reent/reent.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/reent 2024-03-06 23:03:34,341 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/sys.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-03-06 23:03:34,342 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,342 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/mktime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,343 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/lcltime_buf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,345 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/wcsftime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,345 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/lcltime_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,347 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/difftime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,348 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/time.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,348 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/asctime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,349 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/gettzinfo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,350 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/tzset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,351 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/strptime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,351 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/tzcalc_limits.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,352 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/strftime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,353 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/time.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,355 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/gmtime_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,355 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/lcltime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/ctime_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,357 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/gmtime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/asctime_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/tzvars.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,359 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/clock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,360 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/ctime.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,361 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/month_lengths.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-03-06 23:03:34,362 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,362 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strxfrm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,363 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,364 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/timingsafe_memcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,364 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strstr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,365 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strlwr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,366 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,367 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/flsl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,368 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,368 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcpncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,369 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memmove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,370 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcasestr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,371 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcoll_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,371 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,372 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,373 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncasecmp_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,374 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strchrnul.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,374 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,375 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/bcopy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,376 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,377 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/ambiguous.t -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,377 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strnlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,378 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsnlen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,379 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcspbrk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,380 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,380 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,381 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,382 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,383 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/mkwide -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,383 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strerror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,384 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strerror_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,385 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncasecmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,386 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strndup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,386 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/ffsl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,387 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/stpncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,388 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcslen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,389 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,389 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcslcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,390 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/mempcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,391 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/rawmemchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,392 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcasecmp_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,393 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memrchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,393 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,394 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsstr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,395 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strupr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,396 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strtok.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,396 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcasecmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,397 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strndup_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,398 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/rindex.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,399 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/uniset -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,400 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memmem.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,400 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,401 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/combining.t -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,402 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscoll_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,403 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,404 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,404 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,405 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,406 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,407 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcschr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,407 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/ffsll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,408 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,409 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsrchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,410 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strsignal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,410 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,411 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strnstr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,412 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strings.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,413 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcslcat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,413 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strtok_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,414 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,415 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmempcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,416 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,417 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,417 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/u_strerr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,418 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/bzero.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,419 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strpbrk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,420 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/stpcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,420 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcpcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,421 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscoll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,422 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,423 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/fls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,423 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wide.t -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,424 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/xpg_strerror_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,425 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,426 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcstrings.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,426 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/flsll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,427 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcstok.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,428 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strxfrm_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,429 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,430 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,430 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/mkunidata -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,431 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,432 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/explicit_bzero.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,433 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strsep.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,433 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strverscmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,434 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strdup_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,435 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/str-two-way.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,436 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,437 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/mkwidthA -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,437 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/timingsafe_bcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,438 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcoll.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,439 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strlcat.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,440 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,440 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/WIDTH-A -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,441 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/bcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,442 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/gnu_basename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,443 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,444 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcswidth.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,444 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memccpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,445 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,446 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsspn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,447 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strlcpy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,447 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strrchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,448 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm_l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,449 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/swab.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,450 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strdup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,450 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,451 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/index.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,452 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsdup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,453 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcwidth.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-03-06 23:03:34,454 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,454 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/bsearch.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,455 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,456 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/twalk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,457 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tfind.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,457 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_func.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,458 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,459 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/extern.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,460 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/ndbm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,461 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tsearch.3 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,461 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hcreate.3 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,462 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_buf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,463 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/qsort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,464 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_bigkey.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,465 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,465 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hcreate.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,466 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/db_local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,467 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,468 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tdelete.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,468 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/qsort_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,469 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/page.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,470 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_page.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,471 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/bsd_qsort_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,471 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_log2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,472 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hcreate_r.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,473 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tsearch.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,474 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tdestroy.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-03-06 23:03:34,475 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,475 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_stdio.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,476 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_rec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,477 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,478 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_array.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,478 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,479 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_reference.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,480 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,481 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float_vax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,481 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_mem.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,482 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_sizeof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,483 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,484 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,484 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,485 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/README -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-03-06 23:03:34,486 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,487 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/fnmatch.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,487 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regerror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,488 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/rune.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,489 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/cname.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,490 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regcomp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,491 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,491 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/engine.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,492 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/un-namespace.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,493 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/nftw.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,494 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regex2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,494 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/cclass.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,495 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/collcmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,496 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/collate.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,497 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/runetype.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,497 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/utils.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,498 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,499 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/namespace.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,500 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/basename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,500 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/collate.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,501 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/dirname.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,502 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regexec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,503 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regfree.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,504 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/ftw.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,504 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/sysexits.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-03-06 23:03:34,506 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,506 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfwscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,507 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vasprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,508 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,508 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,509 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/makebuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,510 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vasniprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,511 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fcloseall.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,511 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,512 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/open_memstream.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,513 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,514 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfwprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,514 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putchar_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,515 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,516 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/rget.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,517 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ferror_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,517 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,518 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/asiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,519 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/clearerr_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,520 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,521 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,521 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/clearerr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,522 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getwc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,523 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/swscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,524 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vwprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,524 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/asniprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,525 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/scanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,526 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sniprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,527 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/snprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,527 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,528 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vasiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,529 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,530 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fseeko.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,531 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/flags.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,531 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fread.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,532 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputws_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,533 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,534 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getwchar_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,534 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfieeefp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,535 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,536 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,537 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,537 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putwc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,538 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,539 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putw.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,540 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/setvbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,541 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/freopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,541 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgets_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,542 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,543 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,544 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fflush.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,544 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/swprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,545 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwrite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,546 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/findfp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,547 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/viprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,547 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/gets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,548 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwide.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,549 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fread_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,550 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putwchar_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,550 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_i.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,551 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputwc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,552 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/setbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,553 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vswscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,554 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,554 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,555 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/setlinebuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,556 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getwc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,556 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vswprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,557 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fdopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,558 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fseek.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,559 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/feof_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,560 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/wsetup.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,560 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/iscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,561 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,562 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getline.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,563 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/rename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,563 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_float.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,564 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-03-06 23:03:34,565 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys/stdio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-03-06 23:03:34,565 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-03-06 23:03:34,566 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/siscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,567 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfiwprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,568 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ferror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,569 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetpos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,569 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,570 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vwscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,571 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetws_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,572 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,572 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,573 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/wbuf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,574 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,575 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,576 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,576 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/tmpfile.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,577 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/puts.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,578 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,579 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fileno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,579 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/funopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,580 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fflush_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,581 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_i.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,582 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgets.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,582 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,583 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,584 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/wscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,585 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ftell.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,586 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/remove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,586 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfiwscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,587 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getw.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,588 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fsetpos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,589 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/wprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,589 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,590 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,591 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfwscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,592 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,593 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vdprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,593 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwrite_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,594 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,595 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputws.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,596 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/asnprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,597 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fiscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,597 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_float.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,598 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sccl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,599 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,600 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fpurge.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,600 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putwchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,601 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/perror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,602 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,603 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,604 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fsetlocking.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,604 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ungetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,605 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,606 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/siprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,607 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/rewind.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,608 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,608 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputwc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,609 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vdiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,610 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ftello.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,611 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fclose.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,611 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputs_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,612 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ungetwc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,613 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,614 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fileno_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,614 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/floatio.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,615 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,616 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putwc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,617 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsnprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,617 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vasnprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,618 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfiwscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,619 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/iprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,620 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getwchar.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,620 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfiprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,621 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,622 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfwprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,623 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsniprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,624 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/dprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,624 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,625 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fopencookie.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,626 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getchar_u.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,627 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getdelim.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,628 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwalk.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,628 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/asprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,629 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,630 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/diprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,631 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/printf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,631 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fmemopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,632 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,633 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,634 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfiwprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,635 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,635 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,636 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/refill.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,637 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/feof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,638 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/setbuffer.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,638 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,639 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetws.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,640 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/viscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,641 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/mktemp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,642 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/tmpnam.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,642 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-03-06 23:03:34,643 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:34,644 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:34,645 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/signal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:34,645 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:34,646 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/psignal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:34,647 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/signal.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:34,648 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/sig2str.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:34,648 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/raise.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-03-06 23:03:34,649 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:34,650 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/ChangeLog -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:34,651 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/newlib.hin -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:34,652 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/ChangeLog-2015 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:34,654 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/NEWS -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:34,655 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:34,656 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/refcontainers.xslt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:34,657 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/empty.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:34,658 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/HOWTO -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:34,659 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm 2024-03-06 23:03:34,659 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine 2024-03-06 23:03:34,660 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine 2024-03-06 23:03:34,661 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-03-06 23:03:34,661 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32/wf_sqrt.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-03-06 23:03:34,662 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32/w_sqrt.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-03-06 23:03:34,663 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:34,664 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:34,664 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isnanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:34,665 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormalf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:34,666 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:34,667 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinitef.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:34,668 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isinff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:34,668 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:34,669 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:34,670 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassifyf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:34,671 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-03-06 23:03:34,672 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,672 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,673 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,674 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,675 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,676 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,676 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,677 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,678 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,679 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,679 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,680 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,681 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,682 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,682 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,683 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,684 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,685 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,686 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,686 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,687 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,688 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,689 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,689 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,690 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,691 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,692 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,693 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,693 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,694 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,695 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,696 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,696 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/fenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,697 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-03-06 23:03:34,698 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-03-06 23:03:34,699 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-03-06 23:03:34,699 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc/fenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-03-06 23:03:34,700 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,701 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,702 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,702 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,703 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,704 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10f.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,705 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,705 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,706 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,707 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,708 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,708 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tan.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,709 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexpf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,710 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_logf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,711 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/i386mach.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,711 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,712 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,713 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,714 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,714 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2f.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,715 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,716 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tanf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,717 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_math.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,717 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_expf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,718 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexpf.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,719 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/fenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,720 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,720 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,721 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_powf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,722 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexp.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,723 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-03-06 23:03:34,724 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-03-06 23:03:34,724 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-03-06 23:03:34,725 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-03-06 23:03:34,726 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc/fenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-03-06 23:03:34,727 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,727 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/_fenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,728 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,729 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,729 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,730 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,731 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,732 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fedisableexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,732 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,733 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fetestexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,734 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feclearexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,735 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,736 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,736 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,737 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,738 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,739 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,739 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fma_arm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,740 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,741 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,742 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feenableexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,742 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,743 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feraiseexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,744 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,745 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,745 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feholdexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,746 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,747 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,748 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,749 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feupdateenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,749 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,750 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,751 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,752 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,752 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fma_arm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,753 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-03-06 23:03:34,754 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-03-06 23:03:34,755 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-03-06 23:03:34,755 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-03-06 23:03:34,756 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips/fenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-03-06 23:03:34,757 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,758 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fpclassify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,758 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,759 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_copysign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,760 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,761 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,761 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma_riscv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,762 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,763 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,764 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,764 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fetestexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,765 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/feclearexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,766 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fpclassify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,767 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,768 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,768 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,769 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,770 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,771 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,771 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,772 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/feraiseexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,773 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/feholdexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,775 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,776 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma_riscv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,777 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,778 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,778 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,779 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_finite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,780 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,781 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,781 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,782 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_finite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,783 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/feupdateenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,785 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,786 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_copysign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,787 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,787 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,788 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,789 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,790 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-03-06 23:03:34,791 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,791 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,792 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fedisableexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,793 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,794 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fetestexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,794 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feclearexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,795 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,796 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,797 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,797 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feenableexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,798 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feraiseexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,799 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,800 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feholdexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,800 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feupdateenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,801 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,802 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,803 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-03-06 23:03:34,804 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,804 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/llrintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,805 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,806 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,807 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,807 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fpclassify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,808 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_lgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,809 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_hypot.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,810 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_copysign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,810 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,811 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,812 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_cosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,813 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,814 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_tgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,814 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_frexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,815 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cbrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,816 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,817 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,817 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,818 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_atan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,819 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,820 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,820 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,821 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,822 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,823 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/log2f.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,823 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,824 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,825 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_sin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,826 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_remquo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,826 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ldexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,827 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,828 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,829 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,830 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,831 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,831 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,832 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,833 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,834 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,835 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincos.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,835 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,836 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,837 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,838 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_less_than.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,838 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmod.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,839 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,840 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabsf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,841 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,841 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysign.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,842 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,843 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaxf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,844 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,845 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1p.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,845 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabs.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,846 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,847 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,848 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atand2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,848 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,849 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbn.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,850 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,851 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,852 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,852 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lround.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,853 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,854 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,855 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,855 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/pow.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,856 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,857 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,858 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,859 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrt.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,859 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acos.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,860 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llroundf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,861 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,862 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2d2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,862 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,863 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgamma.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,864 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fminf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,865 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,866 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_negone_one.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,866 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,867 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,868 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmax.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,869 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,869 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rint.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,870 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,871 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feholdexcept.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,872 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,873 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogb.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,873 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyint.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,874 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_negone_one.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,875 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,876 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,877 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,877 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,878 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,879 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquo.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,880 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf_utils.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,881 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,881 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypot.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,882 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceil.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,883 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,884 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,885 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10d2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,885 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbit.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,886 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceilf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,887 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysignf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,888 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,888 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,889 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,890 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafter.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,891 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floord2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,892 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,892 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,893 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,894 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,895 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnan.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,895 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,896 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floor.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,897 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,898 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrt.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,899 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/roundf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,899 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feclearexcept.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,900 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tan.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,901 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sin.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,902 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,902 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrintf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,903 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,904 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,905 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2d2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,906 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,906 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,907 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,908 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,909 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,909 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,910 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asin.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,911 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,912 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetexceptflag.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,912 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,913 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrintf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,914 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asind2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,915 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,916 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetround.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,916 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,917 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammad2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,918 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,919 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbitd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,919 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnand2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,920 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,921 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,922 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,923 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,923 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,924 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fma.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,925 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,926 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrtf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,926 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,927 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2d2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,928 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feupdateenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,929 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fetestexcept.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,930 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,930 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,931 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexpf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,932 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,933 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainderf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,933 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,934 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrint.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,935 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,936 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,937 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetexceptflag.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,937 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,938 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,939 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,940 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrint.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,940 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,941 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,942 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,943 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmodf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,944 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,944 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1d2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,945 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,946 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/trunc.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,947 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetenv.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,948 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llround.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,948 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,949 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexp.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,950 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_less_than.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,951 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,951 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetround.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,952 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgamma.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,953 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos_sin.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,954 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdim.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,955 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,955 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,956 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lroundf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,957 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,958 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,959 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,959 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,960 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,961 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,962 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,963 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,963 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/vec_literal.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,964 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,965 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feraiseexcept.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,966 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,966 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,967 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,968 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogbf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fefpscr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,974 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdimf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,975 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/round.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,977 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/simdmath.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,977 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tand2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,978 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,979 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf4.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10f.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,982 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmin.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sind2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,984 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,985 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinh.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,985 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainder.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,987 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammad2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powd2.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquof.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-03-06 23:03:34,990 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,991 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_tgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,993 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_scalbn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,994 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/llroundf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atan2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_scalbn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,996 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_atan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:34,999 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_asinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,001 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_frexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,001 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,002 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,003 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,005 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_asinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,007 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,007 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,009 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_fmod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,011 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_remainder.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/log2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,015 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,016 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,017 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_log1p.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,017 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_cosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,018 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,019 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_erf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nextafter.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_remquo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,021 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,022 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_fmod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,025 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sincos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,026 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_logb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,027 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,027 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,028 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_hypot.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,029 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_finite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atan2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_remainder.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,031 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_asin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,032 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,033 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,034 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ilogb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,034 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,035 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_copysign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,036 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cbrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,038 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,039 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,040 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_log1p.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,040 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_lgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_asin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,042 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ilogb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,043 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ldexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,043 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fdim.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,044 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nextafter.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_sin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,046 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_expm1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,046 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sincos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,047 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_expm1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,048 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,049 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,050 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_erf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,050 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fdim.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-03-06 23:03:35,051 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm 2024-03-06 23:03:35,052 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/modfl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,054 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/local.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,054 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/lroundl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_isinff.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,056 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nearbyintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,057 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/erfcl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,058 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,058 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sincosf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fpclassify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,060 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/logbl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,062 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_getpayload.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,063 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/llrintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,064 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fdlibm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,064 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_copysign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/atan2l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,066 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/cosf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp10l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,068 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,068 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/llroundl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,069 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_isinfd.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,070 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,072 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/expm1l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,073 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/ceill.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,074 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_inexact.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,074 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,075 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/remainderl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,076 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_divzero.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,077 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_cbrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,077 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,078 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nexttowardl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,079 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sinhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,080 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_oflowf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,081 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/expl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,081 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/copysignl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,082 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nexttoward.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,083 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,084 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/asinhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,084 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/erfl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,085 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_oflow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,086 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,087 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/atanhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,087 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/floorl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,088 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log2_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,089 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fpclassify.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,090 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sincosl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,091 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/cosl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,091 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/logl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,092 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,093 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/truncl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,094 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_nan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,094 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fdiml.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,095 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fabsl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,096 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log1pl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,097 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_check_oflow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,097 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_remquo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,098 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,099 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,100 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/ldexpl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,101 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,101 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errl_with_errnol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,102 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_exp2_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,103 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log2l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,104 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp2l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,104 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,105 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_pow10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,106 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_logb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,107 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,107 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_scalbn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,108 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_config.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,109 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/tanhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,110 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sincosf_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_uflow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/isnanl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,112 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_scalbn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,113 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sincosf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,114 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/acoshl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,114 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_isnanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,115 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_scalbln.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,116 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_lround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,117 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_getpayload.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,117 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_uflowf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,118 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,119 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_lrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,120 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_rint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,120 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_invalidf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,121 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,122 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log10l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,123 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/frexpl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,123 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,124 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,125 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/lrintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,126 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_trunc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,127 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/signgam.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,127 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/tgammal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,128 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,129 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_uflowf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,130 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/rintl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,130 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_with_errno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,131 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/tanl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,132 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fmal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,133 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_nan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,133 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_log2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,134 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,135 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errl_invalidl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,136 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_llrint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,137 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sinl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,137 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nextafterl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,138 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log1p.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,139 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_isinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,140 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_pow10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,140 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,141 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fmaxl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,142 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_signbit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_nextafter.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_remquo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,144 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sl_finite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,145 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/powl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,146 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_issignaling.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,146 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_infinity.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,147 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/remquol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,148 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_finite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,149 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/dreml.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,150 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,150 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/pow_log_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,151 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_with_errnof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,152 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fmin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,153 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/isgreater.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,153 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/scalblnl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,154 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_modf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,155 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_logb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,156 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_oflowf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,156 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_may_uflowf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,157 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_round.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,158 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_check_uflow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,159 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_finite.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,160 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_may_uflow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,160 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_infinity.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,161 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/roundl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,162 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_modf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,163 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nexttowardf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,163 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fmax.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,164 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_ilogb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,165 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_isnan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,166 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nanl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,166 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/isinfl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,167 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_copysign.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,168 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_cbrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,169 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_issignaling.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,169 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_scalbln.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,170 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sl_iseqsig.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,171 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_iseqsig.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,172 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fminl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,172 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_nearbyint.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,173 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_exp2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,174 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/acosl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,175 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_log1p.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,176 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/ilogbl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,176 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sqrtl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,177 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/lgammal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,178 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/atanl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,179 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_ilogb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,179 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/asinl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,180 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fmodl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,181 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sl_issignaling.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,182 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/cbrtl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,182 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_exp10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,183 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,184 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fdim.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,185 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/scalbnl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,185 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,186 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_isnand.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,187 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_exp10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,188 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log2_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,189 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/coshl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,189 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_divzerof.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,190 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_iseqsig.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,191 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_nextafter.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,192 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_llround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,192 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/pow10l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,193 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_expm1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,194 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,195 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/finitel.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_expm1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_pow_log2_data.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,197 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_inexactf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,198 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_isinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_invalid.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fdim.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-03-06 23:03:35,201 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,201 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,202 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,203 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/conjl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,203 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,204 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clog.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,206 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccoshf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,208 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,209 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacosl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,210 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cprojf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,210 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,211 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,212 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cabsf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,214 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacoshf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,214 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccosf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,215 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,216 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/carg.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccoshl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,218 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cimag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,219 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csqrtl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csqrtf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cexpl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,222 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,223 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,223 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacosf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,224 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinhf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,225 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,226 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cabsl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clog10f.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,228 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clog10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,229 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/crealf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanhl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,231 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,232 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/creall.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clogf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,234 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cargl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,235 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cpowl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,236 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,236 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,237 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacoshl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,238 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cimagl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,239 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanhf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cargf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,241 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,242 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccosl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cprojl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,244 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cproj.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/creal.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,247 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/complex.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,249 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/conjf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,250 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cimagf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,250 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,251 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinhf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,252 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,253 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cpowf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,253 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cpow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,255 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanhf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,256 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clogl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,256 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clog10l.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,257 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,258 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinl.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,259 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cexpf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,260 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/conj.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-03-06 23:03:35,261 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,261 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetmode.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,262 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,263 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fedisableexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,263 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,264 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fetestexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,265 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feclearexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,266 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,266 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetmode.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,267 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,268 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetround.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,269 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feenableexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,269 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feraiseexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,270 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fenv.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,271 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,272 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feholdexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,273 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fe_dfl_env.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,273 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetexcept.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,274 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feupdateenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,275 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,276 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,276 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fenv_stub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,277 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetexceptflag.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-03-06 23:03:35,278 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/libm.in.xml -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm 2024-03-06 23:03:35,279 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm 2024-03-06 23:03:35,280 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,280 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_rem_pio2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,281 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_tan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,282 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_exp2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,282 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,283 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,284 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sl_hypot.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,285 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/math.tex -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,285 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,286 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_frexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,287 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_cosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,288 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_hypot.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,289 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_remainder.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,289 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,290 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_cosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,291 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_sincos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,292 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_atan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,292 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_hypot.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,293 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sr_lgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,294 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_log.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,295 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_ceil.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,295 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_drem.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,296 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_tanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,297 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_atan2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,298 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_j1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,298 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_j0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,299 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/srf_lgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,300 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_floor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,301 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_sin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,302 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_sinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,302 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/k_sin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,303 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_lgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,304 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/k_rem_pio2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,305 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_cos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,305 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_signif.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,306 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_log10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,307 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_j1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,308 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_atan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,308 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_asinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_frexp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,310 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,311 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/kf_tan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,312 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_exp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,312 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_asin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,313 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_asinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,314 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_rem_pio2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_scalb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,316 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_pow.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,318 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_jn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,318 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/k_tan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,319 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/kf_rem_pio2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,320 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/kf_cos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,321 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_acos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,322 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_erf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,322 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/kf_sin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_tgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,324 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_fabs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_tgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_acosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,326 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_signif.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,327 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_scalb.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,328 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_remainder.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,328 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_cos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,329 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,330 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_jn.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,331 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_asin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_fmod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_atanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,333 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_atan2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,334 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_sqrt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,335 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_log10.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,335 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_tan.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,336 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_sinh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,337 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_tanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,338 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_gamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,338 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_exp2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,339 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/k_cos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,340 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_lgamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,341 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_acosh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,341 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_drem.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,342 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_j0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,343 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_log.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_gamma.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_sin.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,345 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_atanh.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_acos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,347 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_log2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,347 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_sincos.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,348 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_fmod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,349 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_erf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-03-06 23:03:35,350 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,351 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/ceilf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,351 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/math.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,352 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/erfc_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,353 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/test.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/j0f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,355 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/truncf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/dvec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log10f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,357 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sprint_ivec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/fabsf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,359 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/j1f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,360 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/ynf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,361 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/test_is.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,362 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/modf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,362 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/coshf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,363 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/gammaf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,364 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/acos_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,365 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/asinf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,366 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/cosf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,367 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/convert.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,367 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atan2_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,369 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/hypotf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,370 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/fmodf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,371 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/floor_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,371 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/tanh_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,372 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/modff_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,373 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/test_ieee.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,374 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atan2f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,375 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/y0_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,376 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/copysign_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,377 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/tan_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,378 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/powf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,378 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/yn_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,379 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/math2.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,380 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log1p_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,381 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atan_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,381 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sin_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,382 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atanh_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,383 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/logf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,384 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,385 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/floorf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,386 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/exp_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,386 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sqrt_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,387 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,388 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log2f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,389 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/fmod_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,390 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atanhf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,390 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/asinhf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,391 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/jnf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,392 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/tanf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,393 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/scalbn_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,394 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/hypot_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,394 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/copysignf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,395 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/test.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,396 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/y1f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,397 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/ceil_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,398 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/expf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,398 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/asinh_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,399 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/acosh_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,400 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/string.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,401 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/scalb_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,402 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/iconv_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,403 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/y0f_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,403 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/pow_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,404 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/trunc_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,405 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/erfcf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,406 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/acoshf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,406 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log10_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,407 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/jn_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,408 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/y1_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,409 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sqrtf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,410 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/fabs_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,411 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/erf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,412 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/j0_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,412 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/gamma_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,413 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log2_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,414 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/dcvt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,415 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/cosh_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,416 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log1pf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,416 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atanf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,417 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/j1_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,418 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sinhf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,419 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/cos_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,420 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sinf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,420 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/erff_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,421 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sinh_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,422 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/acosf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,423 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/issignaling_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,424 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/conv_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,425 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/asin_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,425 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sprint_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,427 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/tanhf_vec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-03-06 23:03:35,428 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/man.xsl -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:35,429 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/MAINTAINERS -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:35,430 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite 2024-03-06 23:03:35,430 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/config 2024-03-06 23:03:35,431 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/config/default.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/config 2024-03-06 23:03:35,432 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:35,432 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/strcmp-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:35,433 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memmove1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:35,434 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/string.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:35,435 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:35,435 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memcpy-1.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:35,436 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/tstring.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-03-06 23:03:35,437 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/include 2024-03-06 23:03:35,438 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/include/check.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/include 2024-03-06 23:03:35,438 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-03-06 23:03:35,439 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-03-06 23:03:35,440 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-03-06 23:03:35,440 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-03-06 23:03:35,441 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-03-06 23:03:35,442 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvjp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-03-06 23:03:35,443 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-03-06 23:03:35,443 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconv.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-03-06 23:03:35,444 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvnm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-03-06 23:03:35,445 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvru.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-03-06 23:03:35,446 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-03-06 23:03:35,446 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/size_max.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-03-06 23:03:35,447 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-03-06 23:03:35,448 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-03-06 23:03:35,449 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-03-06 23:03:35,449 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/stdlib.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-03-06 23:03:35,450 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-03-06 23:03:35,451 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/locale.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-03-06 23:03:35,452 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-03-06 23:03:35,452 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-03-06 23:03:35,453 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-03-06 23:03:35,454 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-03-06 23:03:35,454 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/elix.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-03-06 23:03:35,455 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/tmmap.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-03-06 23:03:35,456 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite 2024-03-06 23:03:35,457 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-03-06 23:03:35,457 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib/flags.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-03-06 23:03:35,458 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib/checkoutput.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-03-06 23:03:35,459 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib/passfail.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-03-06 23:03:35,460 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib/newlib.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-03-06 23:03:35,461 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-03-06 23:03:35,461 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time/tzset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-03-06 23:03:35,462 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-03-06 23:03:35,463 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time/time.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-03-06 23:03:35,463 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/stdio-bits.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite 2024-03-06 23:03:35,465 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-03-06 23:03:35,466 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/tiswctype.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-03-06 23:03:35,470 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/wctype.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-03-06 23:03:35,471 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctype.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-03-06 23:03:35,472 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-03-06 23:03:35,473 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctrans.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-03-06 23:03:35,474 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-03-06 23:03:35,474 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/nulprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-03-06 23:03:35,475 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/swprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-03-06 23:03:35,476 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/stdio.exp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-03-06 23:03:35,476 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-03-06 23:03:35,477 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/README -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib 2024-03-06 23:03:35,478 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-03-06 23:03:35,479 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/doc/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-03-06 23:03:35,479 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/doc/makedocbook.py -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-03-06 23:03:35,480 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/doc/chapter-texi2docbook.py -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-03-06 23:03:35,481 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/doc/doc.str -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-03-06 23:03:35,482 root INFO copying build/lib/pythondata_software_picolibc/data/find-copyright -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,482 root INFO copying build/lib/pythondata_software_picolibc/data/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,483 root INFO copying build/lib/pythondata_software_picolibc/data/COPYING.picolibc -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,484 root INFO copying build/lib/pythondata_software_picolibc/data/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,485 root INFO copying build/lib/pythondata_software_picolibc/data/.editorconfig -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,486 root INFO copying build/lib/pythondata_software_picolibc/data/.gitattributes -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,487 root INFO copying build/lib/pythondata_software_picolibc/data/meson_options.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,488 root INFO copying build/lib/pythondata_software_picolibc/data/.clang-format -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,489 root INFO copying build/lib/pythondata_software_picolibc/data/picolibc.h.in -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,489 root INFO copying build/lib/pythondata_software_picolibc/data/picolibc.specs.in -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,490 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt 2024-03-06 23:03:35,491 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine 2024-03-06 23:03:35,491 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-03-06 23:03:35,492 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-03-06 23:03:35,493 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64/crt0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-03-06 23:03:35,494 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-03-06 23:03:35,494 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/x86/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-03-06 23:03:35,495 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/x86/crt0-64.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-03-06 23:03:35,496 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/x86/crt0-32.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-03-06 23:03:35,496 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/x86/crt0.S -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-03-06 23:03:35,497 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/arm 2024-03-06 23:03:35,498 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/arm/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/arm 2024-03-06 23:03:35,498 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/arm/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/arm 2024-03-06 23:03:35,499 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/arm/crt0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/arm 2024-03-06 23:03:35,500 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-03-06 23:03:35,501 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-03-06 23:03:35,501 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv/crt0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-03-06 23:03:35,502 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt 2024-03-06 23:03:35,503 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt 2024-03-06 23:03:35,504 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/shared 2024-03-06 23:03:35,504 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/shared/crt0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt/shared 2024-03-06 23:03:35,505 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/crt0.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/picocrt 2024-03-06 23:03:35,506 root INFO copying build/lib/pythondata_software_picolibc/data/CONTRIBUTING.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,506 root INFO copying build/lib/pythondata_software_picolibc/data/test.specs.in -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,507 root INFO copying build/lib/pythondata_software_picolibc/data/README.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,508 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,509 root INFO copying build/lib/pythondata_software_picolibc/data/test/testcases.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,510 root INFO copying build/lib/pythondata_software_picolibc/data/test/regex.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,510 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-strtod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,511 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:35,512 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/fnmatch.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:35,512 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/snprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:35,513 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/testcase.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:35,514 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/qsort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:35,515 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/strtod.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:35,515 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:35,516 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/string.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:35,517 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/strtol.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:35,518 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/basename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:35,518 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/dirname.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:35,519 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/sscanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-03-06 23:03:35,520 root INFO copying build/lib/pythondata_software_picolibc/data/test/CMakeLists.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,521 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,521 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-get-cmdline.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,522 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-open.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,523 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-writec.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,524 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-flen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,524 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-exit-failure.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,525 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-write0.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,526 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-gettimeofday.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,527 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-system.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,527 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,528 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-tickfreq.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,529 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-clock.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,530 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-close.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,530 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,531 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-exit-extended-failure.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,532 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-exit-extended.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,533 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-tmpname.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,533 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-iserror.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,534 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-istty.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,535 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-argv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,536 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-system-failure.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,536 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-errno.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,537 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-tmpnam.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,538 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-seek.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,539 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-elapsed.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,539 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-readc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,540 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-heapinfo.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,541 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-rename.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,542 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-read.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,542 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-time.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,543 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-remove.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,544 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-write.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test/semihost 2024-03-06 23:03:35,545 root INFO copying build/lib/pythondata_software_picolibc/data/test/rand.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,545 root INFO copying build/lib/pythondata_software_picolibc/data/test/malloc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,546 root INFO copying build/lib/pythondata_software_picolibc/data/test/complex-funcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,547 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-efcvt.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,548 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-memset.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,548 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-strchr.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,549 root INFO copying build/lib/pythondata_software_picolibc/data/test/atexit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,550 root INFO copying build/lib/pythondata_software_picolibc/data/test/setjmp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,550 root INFO copying build/lib/pythondata_software_picolibc/data/test/try-ilp32.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,551 root INFO copying build/lib/pythondata_software_picolibc/data/test/ffs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,552 root INFO copying build/lib/pythondata_software_picolibc/data/test/on_exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,553 root INFO copying build/lib/pythondata_software_picolibc/data/test/try-ilp32.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,553 root INFO copying build/lib/pythondata_software_picolibc/data/test/math-funcs.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,554 root INFO copying build/lib/pythondata_software_picolibc/data/test/malloc_stress.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,555 root INFO copying build/lib/pythondata_software_picolibc/data/test/meson.build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,556 root INFO copying build/lib/pythondata_software_picolibc/data/test/posix-io.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,556 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-except.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,557 root INFO copying build/lib/pythondata_software_picolibc/data/test/printf-tests.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,558 root INFO copying build/lib/pythondata_software_picolibc/data/test/try-ilp32-sub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,559 root INFO copying build/lib/pythondata_software_picolibc/data/test/timegm.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,559 root INFO copying build/lib/pythondata_software_picolibc/data/test/timegm.h -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,561 root INFO copying build/lib/pythondata_software_picolibc/data/test/math_errhandling_tests.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,562 root INFO copying build/lib/pythondata_software_picolibc/data/test/hosted-exit.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,563 root INFO copying build/lib/pythondata_software_picolibc/data/test/ungetc.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,563 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-fopen.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,564 root INFO copying build/lib/pythondata_software_picolibc/data/test/math_errhandling.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,565 root INFO copying build/lib/pythondata_software_picolibc/data/test/constructor.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,566 root INFO copying build/lib/pythondata_software_picolibc/data/test/abort.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,566 root INFO copying build/lib/pythondata_software_picolibc/data/test/lock-valid.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,567 root INFO copying build/lib/pythondata_software_picolibc/data/test/rounding-mode-sub.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,568 root INFO copying build/lib/pythondata_software_picolibc/data/test/time-tests.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,569 root INFO copying build/lib/pythondata_software_picolibc/data/test/constructor-skip.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,569 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-put.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,570 root INFO copying build/lib/pythondata_software_picolibc/data/test/stack-smash.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,571 root INFO copying build/lib/pythondata_software_picolibc/data/test/fenv.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,572 root INFO copying build/lib/pythondata_software_picolibc/data/test/rounding-mode.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,572 root INFO copying build/lib/pythondata_software_picolibc/data/test/time-sprintf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,573 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-mktemp.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,574 root INFO copying build/lib/pythondata_software_picolibc/data/test/printf_scanf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,575 root INFO copying build/lib/pythondata_software_picolibc/data/test/tls.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/test 2024-03-06 23:03:35,575 root INFO copying build/lib/pythondata_software_picolibc/data/make-copyrights -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,576 root INFO copying build/lib/pythondata_software_picolibc/data/picolibc.ld.in -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data 2024-03-06 23:03:35,577 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,578 root INFO copying build/lib/pythondata_software_picolibc/data/doc/releasing.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,578 root INFO copying build/lib/pythondata_software_picolibc/data/doc/locking.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,579 root INFO copying build/lib/pythondata_software_picolibc/data/doc/embedsource.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,580 root INFO copying build/lib/pythondata_software_picolibc/data/doc/picolibc.svg -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,581 root INFO copying build/lib/pythondata_software_picolibc/data/doc/testing.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,581 root INFO copying build/lib/pythondata_software_picolibc/data/doc/using.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,582 root INFO copying build/lib/pythondata_software_picolibc/data/doc/tls.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,583 root INFO copying build/lib/pythondata_software_picolibc/data/doc/linking.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,584 root INFO copying build/lib/pythondata_software_picolibc/data/doc/os.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,584 root INFO copying build/lib/pythondata_software_picolibc/data/doc/build.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,585 root INFO copying build/lib/pythondata_software_picolibc/data/doc/init.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,586 root INFO copying build/lib/pythondata_software_picolibc/data/doc/printf.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/doc 2024-03-06 23:03:35,587 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,588 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-x86_64-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,588 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-x86_64.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,589 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-nios2-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,590 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx_adsp-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,591 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-zephyr-riscv-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,591 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-rv32imafdc-unknown-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,592 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,593 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-intel_apl_adsp-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,594 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-arm-zephyr-eabi.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,594 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,595 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-arm -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,596 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-zephyr-arm-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,597 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,597 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-arc-zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,598 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-x86 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,599 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-old-clang-riscv64-unknown-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,600 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-riscv64-unknown-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,600 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-arm-none-eabi.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,601 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-riscv64-unknown-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,602 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-m68k-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,603 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-cortex-a9-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,603 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-riscv32.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,604 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-arc64-zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,605 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-m68k.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,606 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-x86-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,606 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/duplicate-names -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,607 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-old-riscv64-unknown-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,608 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,608 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-rv32imac_zicsr.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,609 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-arm-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,610 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-rv32imafdc-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,611 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx8m_adsp-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,611 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-powerpc64-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,612 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-powerpc64-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,613 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-thumbv7m-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,614 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-thumbv7e+fp-none-eabi.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,614 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-thumbv6m -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,615 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,616 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-x86_64-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,617 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-freedom-tools-package -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,617 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-aarch64 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,618 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/monitor-e9 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,619 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-aarch64-zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,620 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-riscv -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,620 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-x86-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,621 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-sparc64-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,622 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-native-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,623 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,623 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,624 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,625 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-x86_64 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,626 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-riscv-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,626 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-lx106-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,627 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,628 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-sparc64-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,629 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-riscv64-zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,629 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-aarch64-zephyr-elf-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,630 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-esp32-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,631 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-intel_byt_adsp-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,632 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-thumbv7e+fp-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,632 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-rv32imac.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,633 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-msp430-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,634 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,635 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-rv32imac-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,635 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-riscv.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,636 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,637 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,638 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32s2-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,638 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,639 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-thumbv7e -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,640 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-old-rv32imafdc-unknown-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,641 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-arm-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,641 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-rv32imac -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,642 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-aarch64.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,643 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-thumbv7m-none-eabi.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,644 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-zephyr-aarch64-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,644 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-lx106-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,645 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-freedom-tools-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,646 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,647 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-i686-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,647 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-intel_bdw_adsp-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,648 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-arm.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,649 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/GeneratePicolibcCrossFile.sh -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,650 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-powerpc64le-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,650 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-mips-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,651 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-i386 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,652 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-thumbv6m-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,653 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-x86.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,653 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-thumbv6m-none-eabi.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,654 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,655 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-m68k-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,656 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,656 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-rv32imafdc -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,657 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-arc-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,658 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-i386-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,659 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-intel_s1000-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,660 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-cmake-thumbv7m-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,660 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,661 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-riscv-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,662 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-arc64-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,663 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-sample_controller-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,663 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-thumbv7m -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,664 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-cortex-a9 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,665 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-i386.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,666 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-mips-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,666 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-msp430.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,667 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-riscv64.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,668 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-cortex-a9-none-eabi.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,669 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-msp430.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,669 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,670 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-powerpc64le-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,671 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-mipsel-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,672 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-cortex-a9.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,672 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-aarch64-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,673 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-mipsel-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,674 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr_elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,675 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-msp430-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,675 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-esp32-configure -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,676 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-aarch64-linux-gnu.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,677 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-nios2-zephyr-elf.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/scripts 2024-03-06 23:03:35,678 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,678 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-arm -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,679 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-aarch64++ -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,680 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/riscv-cpp.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,681 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-riscv++ -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,681 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/.gitignore -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,682 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/aarch64.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,683 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-aarch64 -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,684 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-riscv -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,684 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/aarch64-cpp.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,685 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/hello-world.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,686 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/arm.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,687 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/test-file -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,687 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/hello-worldpp.cpp -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,688 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/README.md -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,689 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/riscv.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,690 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/printf.c -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,691 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/Makefile -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,691 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/arm-cpp.ld -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/hello-world 2024-03-06 23:03:35,692 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-03-06 23:03:35,693 root INFO copying build/lib/pythondata_software_picolibc/data/.github/CODEOWNERS -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-03-06 23:03:35,693 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-test -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-03-06 23:03:35,694 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-cmake-test -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-03-06 23:03:35,695 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-03-06 23:03:35,696 root INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,696 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-head -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,697 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-arm -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,698 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/variants -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,699 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-minsize -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,699 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-misc -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,700 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-ppc -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,701 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-release -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,702 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/linux.yml -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,703 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-riscv -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,703 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,704 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/make-workflow -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,705 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-fortify-source -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,705 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-cmake-arm -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,706 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-zephyr -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,707 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/head -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,708 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/variants-cmake -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,708 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/macos.yml -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,709 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-mips -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-03-06 23:03:35,710 root INFO copying build/lib/pythondata_software_picolibc/data/.github/packages.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-03-06 23:03:35,711 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-zephyr -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-03-06 23:03:35,711 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-zephyr-build -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-03-06 23:03:35,712 root INFO copying build/lib/pythondata_software_picolibc/data/.github/extra-files.txt -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-03-06 23:03:35,713 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-many -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-03-06 23:03:35,714 root INFO copying build/lib/pythondata_software_picolibc/data/.github/Dockerfile -> build/bdist.linux-riscv64/wheel/pythondata_software_picolibc/data/.github 2024-03-06 23:03:35,715 root INFO running install_egg_info 2024-03-06 23:03:35,733 root INFO Copying pythondata_software_picolibc.egg-info to build/bdist.linux-riscv64/wheel/pythondata_software_picolibc-1.7.9.post181-py3.11.egg-info 2024-03-06 23:03:35,737 root INFO running install_scripts 2024-03-06 23:03:35,747 wheel INFO creating build/bdist.linux-riscv64/wheel/pythondata_software_picolibc-1.7.9.post181.dist-info/WHEEL 2024-03-06 23:03:35,749 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-45jzte0g/pythondata_software_picolibc-1.7.9.post181-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:03:35,752 wheel INFO adding 'pythondata_software_picolibc/__init__.py' 2024-03-06 23:03:35,757 wheel INFO adding 'pythondata_software_picolibc/data/.clang-format' 2024-03-06 23:03:35,758 wheel INFO adding 'pythondata_software_picolibc/data/.editorconfig' 2024-03-06 23:03:35,759 wheel INFO adding 'pythondata_software_picolibc/data/.gitattributes' 2024-03-06 23:03:35,760 wheel INFO adding 'pythondata_software_picolibc/data/.gitignore' 2024-03-06 23:03:35,761 wheel INFO adding 'pythondata_software_picolibc/data/CMakeLists.txt' 2024-03-06 23:03:35,762 wheel INFO adding 'pythondata_software_picolibc/data/CODE_OF_CONDUCT.md' 2024-03-06 23:03:35,764 wheel INFO adding 'pythondata_software_picolibc/data/CONTRIBUTING.md' 2024-03-06 23:03:35,765 wheel INFO adding 'pythondata_software_picolibc/data/COPYING.GPL2' 2024-03-06 23:03:35,766 wheel INFO adding 'pythondata_software_picolibc/data/COPYING.NEWLIB' 2024-03-06 23:03:35,768 wheel INFO adding 'pythondata_software_picolibc/data/COPYING.picolibc' 2024-03-06 23:03:35,772 wheel INFO adding 'pythondata_software_picolibc/data/README.md' 2024-03-06 23:03:35,774 wheel INFO adding 'pythondata_software_picolibc/data/cross.tmpl' 2024-03-06 23:03:35,775 wheel INFO adding 'pythondata_software_picolibc/data/find-copyright' 2024-03-06 23:03:35,776 wheel INFO adding 'pythondata_software_picolibc/data/make-copyrights' 2024-03-06 23:03:35,777 wheel INFO adding 'pythondata_software_picolibc/data/meson.build' 2024-03-06 23:03:35,779 wheel INFO adding 'pythondata_software_picolibc/data/meson_options.txt' 2024-03-06 23:03:35,780 wheel INFO adding 'pythondata_software_picolibc/data/picolibc.h.in' 2024-03-06 23:03:35,781 wheel INFO adding 'pythondata_software_picolibc/data/picolibc.ld.in' 2024-03-06 23:03:35,782 wheel INFO adding 'pythondata_software_picolibc/data/picolibc.specs.in' 2024-03-06 23:03:35,783 wheel INFO adding 'pythondata_software_picolibc/data/test.specs.in' 2024-03-06 23:03:35,784 wheel INFO adding 'pythondata_software_picolibc/data/.github/CODEOWNERS' 2024-03-06 23:03:35,785 wheel INFO adding 'pythondata_software_picolibc/data/.github/Dockerfile' 2024-03-06 23:03:35,786 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-build' 2024-03-06 23:03:35,787 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-cmake-test' 2024-03-06 23:03:35,788 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-many' 2024-03-06 23:03:35,789 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-test' 2024-03-06 23:03:35,790 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-zephyr' 2024-03-06 23:03:35,791 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-zephyr-build' 2024-03-06 23:03:35,791 wheel INFO adding 'pythondata_software_picolibc/data/.github/extra-files.txt' 2024-03-06 23:03:35,792 wheel INFO adding 'pythondata_software_picolibc/data/.github/packages.txt' 2024-03-06 23:03:35,794 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/head' 2024-03-06 23:03:35,795 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/linux.yml' 2024-03-06 23:03:35,796 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/macos.yml' 2024-03-06 23:03:35,797 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/make-workflow' 2024-03-06 23:03:35,798 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-cmake' 2024-03-06 23:03:35,799 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-fortify-source' 2024-03-06 23:03:35,800 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-head' 2024-03-06 23:03:35,801 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-minsize' 2024-03-06 23:03:35,802 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-release' 2024-03-06 23:03:35,803 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-arm' 2024-03-06 23:03:35,804 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-cmake-arm' 2024-03-06 23:03:35,805 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-mips' 2024-03-06 23:03:35,806 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-misc' 2024-03-06 23:03:35,807 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-ppc' 2024-03-06 23:03:35,808 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-riscv' 2024-03-06 23:03:35,808 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-zephyr' 2024-03-06 23:03:35,809 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/variants' 2024-03-06 23:03:35,810 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/variants-cmake' 2024-03-06 23:03:35,811 wheel INFO adding 'pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.cmake' 2024-03-06 23:03:35,812 wheel INFO adding 'pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.ld' 2024-03-06 23:03:35,813 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-alias-attribute.c' 2024-03-06 23:03:35,814 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-alloc-size.c' 2024-03-06 23:03:35,815 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-attribute-always-inline.c' 2024-03-06 23:03:35,816 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-attribute-gnu-inline.c' 2024-03-06 23:03:35,817 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-long-double.c' 2024-03-06 23:03:35,818 wheel INFO adding 'pythondata_software_picolibc/data/cmake/ldbl-eq-dbl.c' 2024-03-06 23:03:35,819 wheel INFO adding 'pythondata_software_picolibc/data/cmake/picolibc.cmake' 2024-03-06 23:03:35,820 wheel INFO adding 'pythondata_software_picolibc/data/doc/build.md' 2024-03-06 23:03:35,822 wheel INFO adding 'pythondata_software_picolibc/data/doc/embedsource.md' 2024-03-06 23:03:35,823 wheel INFO adding 'pythondata_software_picolibc/data/doc/init.md' 2024-03-06 23:03:35,824 wheel INFO adding 'pythondata_software_picolibc/data/doc/linking.md' 2024-03-06 23:03:35,825 wheel INFO adding 'pythondata_software_picolibc/data/doc/locking.md' 2024-03-06 23:03:35,826 wheel INFO adding 'pythondata_software_picolibc/data/doc/os.md' 2024-03-06 23:03:35,827 wheel INFO adding 'pythondata_software_picolibc/data/doc/picolibc.svg' 2024-03-06 23:03:35,828 wheel INFO adding 'pythondata_software_picolibc/data/doc/printf.md' 2024-03-06 23:03:35,829 wheel INFO adding 'pythondata_software_picolibc/data/doc/releasing.md' 2024-03-06 23:03:35,830 wheel INFO adding 'pythondata_software_picolibc/data/doc/testing.md' 2024-03-06 23:03:35,831 wheel INFO adding 'pythondata_software_picolibc/data/doc/tls.md' 2024-03-06 23:03:35,832 wheel INFO adding 'pythondata_software_picolibc/data/doc/using.md' 2024-03-06 23:03:35,833 wheel INFO adding 'pythondata_software_picolibc/data/dummyhost/iob.c' 2024-03-06 23:03:35,834 wheel INFO adding 'pythondata_software_picolibc/data/dummyhost/meson.build' 2024-03-06 23:03:35,835 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/.gitignore' 2024-03-06 23:03:35,836 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/Makefile' 2024-03-06 23:03:35,837 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/README.md' 2024-03-06 23:03:35,838 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/aarch64-cpp.ld' 2024-03-06 23:03:35,839 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/aarch64.ld' 2024-03-06 23:03:35,840 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/arm-cpp.ld' 2024-03-06 23:03:35,841 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/arm.ld' 2024-03-06 23:03:35,842 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/hello-world.c' 2024-03-06 23:03:35,843 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/hello-worldpp.cpp' 2024-03-06 23:03:35,844 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/printf.c' 2024-03-06 23:03:35,845 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/riscv-cpp.ld' 2024-03-06 23:03:35,846 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/riscv.ld' 2024-03-06 23:03:35,847 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-aarch64' 2024-03-06 23:03:35,847 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-aarch64++' 2024-03-06 23:03:35,848 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-arm' 2024-03-06 23:03:35,849 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-riscv' 2024-03-06 23:03:35,850 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-riscv++' 2024-03-06 23:03:35,851 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/test-file' 2024-03-06 23:03:35,852 wheel INFO adding 'pythondata_software_picolibc/data/newlib/CMakeLists.txt' 2024-03-06 23:03:35,853 wheel INFO adding 'pythondata_software_picolibc/data/newlib/ChangeLog' 2024-03-06 23:03:35,857 wheel INFO adding 'pythondata_software_picolibc/data/newlib/ChangeLog-2015' 2024-03-06 23:03:35,872 wheel INFO adding 'pythondata_software_picolibc/data/newlib/HOWTO' 2024-03-06 23:03:35,874 wheel INFO adding 'pythondata_software_picolibc/data/newlib/MAINTAINERS' 2024-03-06 23:03:35,875 wheel INFO adding 'pythondata_software_picolibc/data/newlib/NEWS' 2024-03-06 23:03:35,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/README' 2024-03-06 23:03:35,877 wheel INFO adding 'pythondata_software_picolibc/data/newlib/empty.c' 2024-03-06 23:03:35,878 wheel INFO adding 'pythondata_software_picolibc/data/newlib/man.xsl' 2024-03-06 23:03:35,879 wheel INFO adding 'pythondata_software_picolibc/data/newlib/meson.build' 2024-03-06 23:03:35,880 wheel INFO adding 'pythondata_software_picolibc/data/newlib/newlib.hin' 2024-03-06 23:03:35,881 wheel INFO adding 'pythondata_software_picolibc/data/newlib/refcontainers.xslt' 2024-03-06 23:03:35,882 wheel INFO adding 'pythondata_software_picolibc/data/newlib/doc/.gitignore' 2024-03-06 23:03:35,883 wheel INFO adding 'pythondata_software_picolibc/data/newlib/doc/chapter-texi2docbook.py' 2024-03-06 23:03:35,884 wheel INFO adding 'pythondata_software_picolibc/data/newlib/doc/doc.str' 2024-03-06 23:03:35,885 wheel INFO adding 'pythondata_software_picolibc/data/newlib/doc/makedocbook.py' 2024-03-06 23:03:35,887 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/CMakeLists.txt' 2024-03-06 23:03:35,888 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/libc.in.xml' 2024-03-06 23:03:35,889 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/meson.build' 2024-03-06 23:03:35,890 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/sys.tex' 2024-03-06 23:03:35,891 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/CMakeLists.txt' 2024-03-06 23:03:35,892 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_add.c' 2024-03-06 23:03:35,893 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_add_sep.c' 2024-03-06 23:03:35,894 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_append.c' 2024-03-06 23:03:35,895 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_count.c' 2024-03-06 23:03:35,896 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_create.c' 2024-03-06 23:03:35,897 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_create_sep.c' 2024-03-06 23:03:35,898 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_delete.c' 2024-03-06 23:03:35,899 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_extract.c' 2024-03-06 23:03:35,900 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_insert.c' 2024-03-06 23:03:35,901 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_next.c' 2024-03-06 23:03:35,901 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_replace.c' 2024-03-06 23:03:35,902 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_stringify.c' 2024-03-06 23:03:35,903 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.c' 2024-03-06 23:03:35,904 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.h' 2024-03-06 23:03:35,905 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_add.c' 2024-03-06 23:03:35,906 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_entry.c' 2024-03-06 23:03:35,907 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_get.c' 2024-03-06 23:03:35,908 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_merge.c' 2024-03-06 23:03:35,909 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_remove.c' 2024-03-06 23:03:35,910 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_strip.c' 2024-03-06 23:03:35,911 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/meson.build' 2024-03-06 23:03:35,913 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/CMakeLists.txt' 2024-03-06 23:03:35,914 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/caseconv.t' 2024-03-06 23:03:35,915 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/categories.c' 2024-03-06 23:03:35,916 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/categories.cat' 2024-03-06 23:03:35,917 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/categories.h' 2024-03-06 23:03:35,918 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/categories.t' 2024-03-06 23:03:35,919 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype.tex' 2024-03-06 23:03:35,921 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.c' 2024-03-06 23:03:35,922 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.h' 2024-03-06 23:03:35,923 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype_cp.h' 2024-03-06 23:03:35,924 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype_iso.h' 2024-03-06 23:03:35,925 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isalnum.c' 2024-03-06 23:03:35,926 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isalnum_l.c' 2024-03-06 23:03:35,927 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isalpha.c' 2024-03-06 23:03:35,928 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isalpha_l.c' 2024-03-06 23:03:35,929 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isascii.c' 2024-03-06 23:03:35,930 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isascii_l.c' 2024-03-06 23:03:35,931 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isblank.c' 2024-03-06 23:03:35,932 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isblank_l.c' 2024-03-06 23:03:35,933 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl.c' 2024-03-06 23:03:35,934 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl_l.c' 2024-03-06 23:03:35,935 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isdigit.c' 2024-03-06 23:03:35,936 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isdigit_l.c' 2024-03-06 23:03:35,937 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/islower.c' 2024-03-06 23:03:35,938 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/islower_l.c' 2024-03-06 23:03:35,939 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isprint.c' 2024-03-06 23:03:35,940 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isprint_l.c' 2024-03-06 23:03:35,941 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ispunct.c' 2024-03-06 23:03:35,942 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ispunct_l.c' 2024-03-06 23:03:35,943 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isspace.c' 2024-03-06 23:03:35,944 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isspace_l.c' 2024-03-06 23:03:35,944 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isupper.c' 2024-03-06 23:03:35,945 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isupper_l.c' 2024-03-06 23:03:35,946 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum.c' 2024-03-06 23:03:35,947 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum_l.c' 2024-03-06 23:03:35,948 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha.c' 2024-03-06 23:03:35,949 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha_l.c' 2024-03-06 23:03:35,950 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswblank.c' 2024-03-06 23:03:35,951 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswblank_l.c' 2024-03-06 23:03:35,952 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl.c' 2024-03-06 23:03:35,953 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl_l.c' 2024-03-06 23:03:35,954 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswctype.c' 2024-03-06 23:03:35,955 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswctype_l.c' 2024-03-06 23:03:35,956 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit.c' 2024-03-06 23:03:35,957 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit_l.c' 2024-03-06 23:03:35,958 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph.c' 2024-03-06 23:03:35,959 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph_l.c' 2024-03-06 23:03:35,960 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswlower.c' 2024-03-06 23:03:35,961 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswlower_l.c' 2024-03-06 23:03:35,962 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswprint.c' 2024-03-06 23:03:35,964 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswprint_l.c' 2024-03-06 23:03:35,965 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct.c' 2024-03-06 23:03:35,966 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct_l.c' 2024-03-06 23:03:35,967 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswspace.c' 2024-03-06 23:03:35,968 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswspace_l.c' 2024-03-06 23:03:35,969 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswupper.c' 2024-03-06 23:03:35,970 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswupper_l.c' 2024-03-06 23:03:35,971 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit.c' 2024-03-06 23:03:35,972 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit_l.c' 2024-03-06 23:03:35,973 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit.c' 2024-03-06 23:03:35,974 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit_l.c' 2024-03-06 23:03:35,975 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.c' 2024-03-06 23:03:35,976 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.h' 2024-03-06 23:03:35,978 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/local.h' 2024-03-06 23:03:35,979 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/meson.build' 2024-03-06 23:03:35,980 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/mkcaseconv' 2024-03-06 23:03:35,981 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/mkcategories' 2024-03-06 23:03:35,982 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/mkunidata' 2024-03-06 23:03:35,983 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/toascii.c' 2024-03-06 23:03:35,984 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/toascii_l.c' 2024-03-06 23:03:35,985 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/tolower.c' 2024-03-06 23:03:35,986 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/tolower_l.c' 2024-03-06 23:03:35,986 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/toupper.c' 2024-03-06 23:03:35,988 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/toupper_l.c' 2024-03-06 23:03:35,988 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towctrans.c' 2024-03-06 23:03:35,989 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towctrans_l.c' 2024-03-06 23:03:35,990 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towlower.c' 2024-03-06 23:03:35,991 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towlower_l.c' 2024-03-06 23:03:35,992 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towupper.c' 2024-03-06 23:03:35,993 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towupper_l.c' 2024-03-06 23:03:35,994 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/wctrans.c' 2024-03-06 23:03:35,995 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/wctrans_l.c' 2024-03-06 23:03:35,996 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/wctype.c' 2024-03-06 23:03:35,997 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/wctype_l.c' 2024-03-06 23:03:35,998 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/errno/CMakeLists.txt' 2024-03-06 23:03:35,999 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/errno/errno.c' 2024-03-06 23:03:36,000 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/errno/meson.build' 2024-03-06 23:03:36,001 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/CMakeLists.txt' 2024-03-06 23:03:36,002 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/encoding.aliases' 2024-03-06 23:03:36,004 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/iconv.tex' 2024-03-06 23:03:36,005 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/meson.build' 2024-03-06 23:03:36,006 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/CMakeLists.txt' 2024-03-06 23:03:36,009 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/big5.c' 2024-03-06 23:03:36,020 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccs.h' 2024-03-06 23:03:36,021 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.c' 2024-03-06 23:03:36,022 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.h' 2024-03-06 23:03:36,023 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsnames.h' 2024-03-06 23:03:36,026 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane1.c' 2024-03-06 23:03:36,036 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane14.c' 2024-03-06 23:03:36,046 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane2.c' 2024-03-06 23:03:36,055 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp775.c' 2024-03-06 23:03:36,057 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp850.c' 2024-03-06 23:03:36,058 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp852.c' 2024-03-06 23:03:36,059 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp855.c' 2024-03-06 23:03:36,060 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp866.c' 2024-03-06 23:03:36,061 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_1.c' 2024-03-06 23:03:36,062 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_10.c' 2024-03-06 23:03:36,064 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_11.c' 2024-03-06 23:03:36,065 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_13.c' 2024-03-06 23:03:36,066 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_14.c' 2024-03-06 23:03:36,067 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_15.c' 2024-03-06 23:03:36,068 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_2.c' 2024-03-06 23:03:36,069 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_3.c' 2024-03-06 23:03:36,071 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_4.c' 2024-03-06 23:03:36,072 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_5.c' 2024-03-06 23:03:36,073 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_6.c' 2024-03-06 23:03:36,074 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_7.c' 2024-03-06 23:03:36,075 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_8.c' 2024-03-06 23:03:36,076 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_9.c' 2024-03-06 23:03:36,077 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_ir_111.c' 2024-03-06 23:03:36,079 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0201_1976.c' 2024-03-06 23:03:36,081 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0208_1990.c' 2024-03-06 23:03:36,092 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0212_1990.c' 2024-03-06 23:03:36,101 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_r.c' 2024-03-06 23:03:36,102 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_ru.c' 2024-03-06 23:03:36,104 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_u.c' 2024-03-06 23:03:36,105 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_uni.c' 2024-03-06 23:03:36,108 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ksx1001.c' 2024-03-06 23:03:36,120 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/meson.build' 2024-03-06 23:03:36,121 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/mktbl.pl' 2024-03-06 23:03:36,123 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1250.c' 2024-03-06 23:03:36,124 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1251.c' 2024-03-06 23:03:36,125 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1252.c' 2024-03-06 23:03:36,126 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1253.c' 2024-03-06 23:03:36,128 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1254.c' 2024-03-06 23:03:36,129 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1255.c' 2024-03-06 23:03:36,130 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1256.c' 2024-03-06 23:03:36,131 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1257.c' 2024-03-06 23:03:36,133 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1258.c' 2024-03-06 23:03:36,134 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/CMakeLists.txt' 2024-03-06 23:03:36,136 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/big5.cct' 2024-03-06 23:03:36,142 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane1.cct' 2024-03-06 23:03:36,147 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane14.cct' 2024-03-06 23:03:36,152 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane2.cct' 2024-03-06 23:03:36,156 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp775.cct' 2024-03-06 23:03:36,157 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp850.cct' 2024-03-06 23:03:36,158 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp852.cct' 2024-03-06 23:03:36,159 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp855.cct' 2024-03-06 23:03:36,160 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp866.cct' 2024-03-06 23:03:36,162 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_1.cct' 2024-03-06 23:03:36,163 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_10.cct' 2024-03-06 23:03:36,164 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_11.cct' 2024-03-06 23:03:36,165 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_13.cct' 2024-03-06 23:03:36,166 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_14.cct' 2024-03-06 23:03:36,167 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_15.cct' 2024-03-06 23:03:36,168 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_2.cct' 2024-03-06 23:03:36,169 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_3.cct' 2024-03-06 23:03:36,170 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_4.cct' 2024-03-06 23:03:36,171 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_5.cct' 2024-03-06 23:03:36,172 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_6.cct' 2024-03-06 23:03:36,173 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_7.cct' 2024-03-06 23:03:36,174 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_8.cct' 2024-03-06 23:03:36,175 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_9.cct' 2024-03-06 23:03:36,176 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_ir_111.cct' 2024-03-06 23:03:36,177 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0201_1976.cct' 2024-03-06 23:03:36,178 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0208_1990.cct' 2024-03-06 23:03:36,184 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0212_1990.cct' 2024-03-06 23:03:36,188 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_r.cct' 2024-03-06 23:03:36,189 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_ru.cct' 2024-03-06 23:03:36,190 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_u.cct' 2024-03-06 23:03:36,191 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_uni.cct' 2024-03-06 23:03:36,193 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/ksx1001.cct' 2024-03-06 23:03:36,198 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/meson.build' 2024-03-06 23:03:36,200 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1250.cct' 2024-03-06 23:03:36,201 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1251.cct' 2024-03-06 23:03:36,202 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1252.cct' 2024-03-06 23:03:36,203 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1253.cct' 2024-03-06 23:03:36,204 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1254.cct' 2024-03-06 23:03:36,205 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1255.cct' 2024-03-06 23:03:36,206 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1256.cct' 2024-03-06 23:03:36,207 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1257.cct' 2024-03-06 23:03:36,208 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1258.cct' 2024-03-06 23:03:36,209 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/CMakeLists.txt' 2024-03-06 23:03:36,210 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.c' 2024-03-06 23:03:36,211 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.h' 2024-03-06 23:03:36,212 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesdeps.h' 2024-03-06 23:03:36,213 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/euc.c' 2024-03-06 23:03:36,214 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/meson.build' 2024-03-06 23:03:36,215 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/mkdeps.pl' 2024-03-06 23:03:36,217 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/table-pcs.c' 2024-03-06 23:03:36,218 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/table.c' 2024-03-06 23:03:36,219 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2-internal.c' 2024-03-06 23:03:36,220 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2.c' 2024-03-06 23:03:36,221 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4-internal.c' 2024-03-06 23:03:36,222 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4.c' 2024-03-06 23:03:36,223 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/us-ascii.c' 2024-03-06 23:03:36,224 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-16.c' 2024-03-06 23:03:36,225 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-8.c' 2024-03-06 23:03:36,227 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/CMakeLists.txt' 2024-03-06 23:03:36,228 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesbi.c' 2024-03-06 23:03:36,229 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesi.c' 2024-03-06 23:03:36,230 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/conv.h' 2024-03-06 23:03:36,231 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/encnames.h' 2024-03-06 23:03:36,232 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/encoding.deps' 2024-03-06 23:03:36,233 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/endian.h' 2024-03-06 23:03:36,234 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconv.c' 2024-03-06 23:03:36,235 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.c' 2024-03-06 23:03:36,236 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.h' 2024-03-06 23:03:36,237 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/local.h' 2024-03-06 23:03:36,238 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/meson.build' 2024-03-06 23:03:36,239 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/nullconv.c' 2024-03-06 23:03:36,241 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.c' 2024-03-06 23:03:36,242 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.h' 2024-03-06 23:03:36,243 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/CMakeLists.txt' 2024-03-06 23:03:36,244 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/_ansi.h' 2024-03-06 23:03:36,245 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/_newlib_version.h' 2024-03-06 23:03:36,246 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/_syslist.h' 2024-03-06 23:03:36,247 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/alloca.h' 2024-03-06 23:03:36,248 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ar.h' 2024-03-06 23:03:36,249 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/argz.h' 2024-03-06 23:03:36,250 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/assert.h' 2024-03-06 23:03:36,251 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/byteswap.h' 2024-03-06 23:03:36,252 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/complex.h' 2024-03-06 23:03:36,253 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/cpio.h' 2024-03-06 23:03:36,254 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ctype.h' 2024-03-06 23:03:36,255 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/devctl.h' 2024-03-06 23:03:36,256 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/dirent.h' 2024-03-06 23:03:36,257 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/elf.h' 2024-03-06 23:03:36,259 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/endian.h' 2024-03-06 23:03:36,260 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/envlock.h' 2024-03-06 23:03:36,261 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/envz.h' 2024-03-06 23:03:36,262 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/errno.h' 2024-03-06 23:03:36,263 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/fastmath.h' 2024-03-06 23:03:36,264 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/fcntl.h' 2024-03-06 23:03:36,265 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/fenv.h' 2024-03-06 23:03:36,266 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/fnmatch.h' 2024-03-06 23:03:36,267 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ftw.h' 2024-03-06 23:03:36,268 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/getopt.h' 2024-03-06 23:03:36,269 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/glob.h' 2024-03-06 23:03:36,270 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/grp.h' 2024-03-06 23:03:36,271 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/iconv.h' 2024-03-06 23:03:36,272 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ieeefp.h' 2024-03-06 23:03:36,273 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/inttypes.h' 2024-03-06 23:03:36,274 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/langinfo.h' 2024-03-06 23:03:36,275 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/libgen.h' 2024-03-06 23:03:36,276 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/limits.h' 2024-03-06 23:03:36,277 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/locale.h' 2024-03-06 23:03:36,278 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/malloc.h' 2024-03-06 23:03:36,279 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/math.h' 2024-03-06 23:03:36,281 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/memory.h' 2024-03-06 23:03:36,282 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/meson.build' 2024-03-06 23:03:36,283 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ndbm.h' 2024-03-06 23:03:36,284 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/newlib.h' 2024-03-06 23:03:36,284 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/paths.h' 2024-03-06 23:03:36,285 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/picotls.h' 2024-03-06 23:03:36,286 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/pwd.h' 2024-03-06 23:03:36,287 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/regdef.h' 2024-03-06 23:03:36,288 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/regex.h' 2024-03-06 23:03:36,289 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sched.h' 2024-03-06 23:03:36,290 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/search.h' 2024-03-06 23:03:36,291 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/setjmp.h' 2024-03-06 23:03:36,292 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/signal.h' 2024-03-06 23:03:36,293 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/spawn.h' 2024-03-06 23:03:36,294 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/stdint.h' 2024-03-06 23:03:36,296 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/stdlib.h' 2024-03-06 23:03:36,297 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/string.h' 2024-03-06 23:03:36,298 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/strings.h' 2024-03-06 23:03:36,299 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/tar.h' 2024-03-06 23:03:36,300 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/termios.h' 2024-03-06 23:03:36,301 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/threads.h' 2024-03-06 23:03:36,302 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/time.h' 2024-03-06 23:03:36,303 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/unctrl.h' 2024-03-06 23:03:36,304 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/unistd.h' 2024-03-06 23:03:36,305 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/utime.h' 2024-03-06 23:03:36,306 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/utmp.h' 2024-03-06 23:03:36,307 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/wchar.h' 2024-03-06 23:03:36,308 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/wctype.h' 2024-03-06 23:03:36,309 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/wordexp.h' 2024-03-06 23:03:36,310 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/arpa/CMakeLists.txt' 2024-03-06 23:03:36,311 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/arpa/inet.h' 2024-03-06 23:03:36,312 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/arpa/meson.build' 2024-03-06 23:03:36,313 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/bits/types/mbstate_t.h' 2024-03-06 23:03:36,314 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/CMakeLists.txt' 2024-03-06 23:03:36,315 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_arc4random.h' 2024-03-06 23:03:36,316 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_default_types.h' 2024-03-06 23:03:36,317 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_endian.h' 2024-03-06 23:03:36,318 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_time.h' 2024-03-06 23:03:36,319 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_types.h' 2024-03-06 23:03:36,320 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/ansi.h' 2024-03-06 23:03:36,321 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/endian.h' 2024-03-06 23:03:36,322 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/fastmath.h' 2024-03-06 23:03:36,323 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/ieee.h' 2024-03-06 23:03:36,324 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/ieeefp.h' 2024-03-06 23:03:36,325 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/malloc.h' 2024-03-06 23:03:36,326 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/math.h' 2024-03-06 23:03:36,327 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/meson.build' 2024-03-06 23:03:36,328 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/param.h' 2024-03-06 23:03:36,329 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp-dj.h' 2024-03-06 23:03:36,330 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp.h' 2024-03-06 23:03:36,331 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/stdlib.h' 2024-03-06 23:03:36,332 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/termios.h' 2024-03-06 23:03:36,333 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/time.h' 2024-03-06 23:03:36,334 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/types.h' 2024-03-06 23:03:36,335 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/rpc/CMakeLists.txt' 2024-03-06 23:03:36,336 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/rpc/meson.build' 2024-03-06 23:03:36,337 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/rpc/types.h' 2024-03-06 23:03:36,338 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/rpc/xdr.h' 2024-03-06 23:03:36,339 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/CMakeLists.txt' 2024-03-06 23:03:36,340 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/meson.build' 2024-03-06 23:03:36,341 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/ssp.h' 2024-03-06 23:03:36,342 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/stdio.h' 2024-03-06 23:03:36,343 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/stdlib.h' 2024-03-06 23:03:36,344 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/string.h' 2024-03-06 23:03:36,345 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/strings.h' 2024-03-06 23:03:36,346 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/unistd.h' 2024-03-06 23:03:36,347 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/wchar.h' 2024-03-06 23:03:36,348 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/CMakeLists.txt' 2024-03-06 23:03:36,349 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_default_fcntl.h' 2024-03-06 23:03:36,350 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_intsup.h' 2024-03-06 23:03:36,351 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_locale.h' 2024-03-06 23:03:36,352 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_sigset.h' 2024-03-06 23:03:36,353 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_stdint.h' 2024-03-06 23:03:36,354 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_timespec.h' 2024-03-06 23:03:36,355 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_timeval.h' 2024-03-06 23:03:36,356 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_types.h' 2024-03-06 23:03:36,357 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_tz_structs.h' 2024-03-06 23:03:36,358 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/auxv.h' 2024-03-06 23:03:36,359 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/cdefs.h' 2024-03-06 23:03:36,361 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/config.h' 2024-03-06 23:03:36,362 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/custom_file.h' 2024-03-06 23:03:36,363 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/dir.h' 2024-03-06 23:03:36,364 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/dirent.h' 2024-03-06 23:03:36,365 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/errno.h' 2024-03-06 23:03:36,366 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/fcntl.h' 2024-03-06 23:03:36,367 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/features.h' 2024-03-06 23:03:36,368 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/fenv.h' 2024-03-06 23:03:36,369 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/file.h' 2024-03-06 23:03:36,370 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/iconvnls.h' 2024-03-06 23:03:36,371 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/lock.h' 2024-03-06 23:03:36,372 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/meson.build' 2024-03-06 23:03:36,373 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/param.h' 2024-03-06 23:03:36,374 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/queue.h' 2024-03-06 23:03:36,376 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/resource.h' 2024-03-06 23:03:36,376 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/sched.h' 2024-03-06 23:03:36,377 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/select.h' 2024-03-06 23:03:36,379 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/signal.h' 2024-03-06 23:03:36,380 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/stat.h' 2024-03-06 23:03:36,381 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/string.h' 2024-03-06 23:03:36,382 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/syslimits.h' 2024-03-06 23:03:36,383 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/time.h' 2024-03-06 23:03:36,384 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/timeb.h' 2024-03-06 23:03:36,385 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/times.h' 2024-03-06 23:03:36,386 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/timespec.h' 2024-03-06 23:03:36,387 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/tree.h' 2024-03-06 23:03:36,388 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/types.h' 2024-03-06 23:03:36,389 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/unistd.h' 2024-03-06 23:03:36,391 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/utime.h' 2024-03-06 23:03:36,392 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/wait.h' 2024-03-06 23:03:36,393 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/CMakeLists.txt' 2024-03-06 23:03:36,394 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/duplocale.c' 2024-03-06 23:03:36,395 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/freelocale.c' 2024-03-06 23:03:36,396 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/lctype.c' 2024-03-06 23:03:36,397 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/lmessages.c' 2024-03-06 23:03:36,398 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/lmonetary.c' 2024-03-06 23:03:36,399 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/lnumeric.c' 2024-03-06 23:03:36,400 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/locale.c' 2024-03-06 23:03:36,401 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/locale.tex' 2024-03-06 23:03:36,402 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/localeconv.c' 2024-03-06 23:03:36,403 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/meson.build' 2024-03-06 23:03:36,404 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/newlocale.c' 2024-03-06 23:03:36,405 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.3' 2024-03-06 23:03:36,406 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.c' 2024-03-06 23:03:36,407 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/setlocale.h' 2024-03-06 23:03:36,409 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/timelocal.c' 2024-03-06 23:03:36,410 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/uselocale.c' 2024-03-06 23:03:36,411 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/CMakeLists.txt' 2024-03-06 23:03:36,412 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/CMakeLists.txt' 2024-03-06 23:03:36,413 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr-stub.c' 2024-03-06 23:03:36,414 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr.S' 2024-03-06 23:03:36,415 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp-stub.c' 2024-03-06 23:03:36,416 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp.S' 2024-03-06 23:03:36,417 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy-stub.c' 2024-03-06 23:03:36,418 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy.S' 2024-03-06 23:03:36,419 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove-stub.c' 2024-03-06 23:03:36,420 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove.S' 2024-03-06 23:03:36,421 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset-stub.c' 2024-03-06 23:03:36,422 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset.S' 2024-03-06 23:03:36,423 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/meson.build' 2024-03-06 23:03:36,424 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr-stub.c' 2024-03-06 23:03:36,425 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr.S' 2024-03-06 23:03:36,426 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/setjmp.S' 2024-03-06 23:03:36,427 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy-stub.c' 2024-03-06 23:03:36,428 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy.S' 2024-03-06 23:03:36,433 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr-stub.c' 2024-03-06 23:03:36,434 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr.S' 2024-03-06 23:03:36,435 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul-stub.c' 2024-03-06 23:03:36,436 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul.S' 2024-03-06 23:03:36,437 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp-stub.c' 2024-03-06 23:03:36,438 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp.S' 2024-03-06 23:03:36,439 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy-stub.c' 2024-03-06 23:03:36,440 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy.S' 2024-03-06 23:03:36,441 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen-stub.c' 2024-03-06 23:03:36,442 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen.S' 2024-03-06 23:03:36,443 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp-stub.c' 2024-03-06 23:03:36,444 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp.S' 2024-03-06 23:03:36,445 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen-stub.c' 2024-03-06 23:03:36,446 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen.S' 2024-03-06 23:03:36,447 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr-stub.c' 2024-03-06 23:03:36,448 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr.S' 2024-03-06 23:03:36,450 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/CMakeLists.txt' 2024-03-06 23:03:36,451 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/_types.h' 2024-03-06 23:03:36,452 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/fenv-fp.h' 2024-03-06 23:03:36,453 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/math.h' 2024-03-06 23:03:36,454 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/meson.build' 2024-03-06 23:03:36,455 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/CMakeLists.txt' 2024-03-06 23:03:36,456 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fcntl.h' 2024-03-06 23:03:36,457 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fenv.h' 2024-03-06 23:03:36,458 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/meson.build' 2024-03-06 23:03:36,459 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/abort.c' 2024-03-06 23:03:36,460 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/atexit.c' 2024-03-06 23:03:36,461 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit-value.h' 2024-03-06 23:03:36,462 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit.c' 2024-03-06 23:03:36,463 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/getreent.c' 2024-03-06 23:03:36,464 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/mlock.c' 2024-03-06 23:03:36,465 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/signal.c' 2024-03-06 23:03:36,466 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/CMakeLists.txt' 2024-03-06 23:03:36,467 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/asm.h' 2024-03-06 23:03:36,468 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-bs-norm.S' 2024-03-06 23:03:36,469 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-stub.c' 2024-03-06 23:03:36,470 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp.S' 2024-03-06 23:03:36,471 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-archs.S' 2024-03-06 23:03:36,472 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-bs.S' 2024-03-06 23:03:36,473 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-stub.c' 2024-03-06 23:03:36,474 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy.S' 2024-03-06 23:03:36,475 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-archs.S' 2024-03-06 23:03:36,476 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-bs.S' 2024-03-06 23:03:36,477 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-stub.c' 2024-03-06 23:03:36,478 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memset.S' 2024-03-06 23:03:36,479 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/meson.build' 2024-03-06 23:03:36,480 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/setjmp.S' 2024-03-06 23:03:36,481 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs-norm.S' 2024-03-06 23:03:36,482 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs.S' 2024-03-06 23:03:36,483 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-stub.c' 2024-03-06 23:03:36,484 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr.S' 2024-03-06 23:03:36,485 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-archs.S' 2024-03-06 23:03:36,486 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-stub.c' 2024-03-06 23:03:36,487 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp.S' 2024-03-06 23:03:36,488 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs-arc600.S' 2024-03-06 23:03:36,489 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs.S' 2024-03-06 23:03:36,490 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-stub.c' 2024-03-06 23:03:36,491 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy.S' 2024-03-06 23:03:36,492 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs-norm.S' 2024-03-06 23:03:36,493 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs.S' 2024-03-06 23:03:36,494 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-stub.c' 2024-03-06 23:03:36,495 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen.S' 2024-03-06 23:03:36,496 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-bs.S' 2024-03-06 23:03:36,497 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-stub.c' 2024-03-06 23:03:36,498 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy.S' 2024-03-06 23:03:36,500 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/CMakeLists.txt' 2024-03-06 23:03:36,501 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/acle-compat.h' 2024-03-06 23:03:36,502 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memcpy-armv7a.S' 2024-03-06 23:03:36,503 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memset.c' 2024-03-06 23:03:36,504 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/arm_asm.h' 2024-03-06 23:03:36,505 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/bzero.c' 2024-03-06 23:03:36,506 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.S' 2024-03-06 23:03:36,507 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.c' 2024-03-06 23:03:36,508 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7a.S' 2024-03-06 23:03:36,509 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7m.S' 2024-03-06 23:03:36,510 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.S' 2024-03-06 23:03:36,511 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.c' 2024-03-06 23:03:36,512 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memmove.c' 2024-03-06 23:03:36,513 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-arm.S' 2024-03-06 23:03:36,514 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb.S' 2024-03-06 23:03:36,515 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb2.S' 2024-03-06 23:03:36,516 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.S' 2024-03-06 23:03:36,517 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.c' 2024-03-06 23:03:36,518 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/meson.build' 2024-03-06 23:03:36,519 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/setjmp.S' 2024-03-06 23:03:36,520 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-arm-tiny.S' 2024-03-06 23:03:36,521 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4.S' 2024-03-06 23:03:36,522 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4t.S' 2024-03-06 23:03:36,523 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6.S' 2024-03-06 23:03:36,524 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6m.S' 2024-03-06 23:03:36,525 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7.S' 2024-03-06 23:03:36,526 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7m.S' 2024-03-06 23:03:36,528 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp.S' 2024-03-06 23:03:36,529 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcpy.S' 2024-03-06 23:03:36,530 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-armv7.S' 2024-03-06 23:03:36,531 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb1-Os.S' 2024-03-06 23:03:36,532 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb2-Os.S' 2024-03-06 23:03:36,533 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.S' 2024-03-06 23:03:36,534 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.c' 2024-03-06 23:03:36,535 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/CMakeLists.txt' 2024-03-06 23:03:36,536 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/_endian.h' 2024-03-06 23:03:36,537 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/acle-compat.h' 2024-03-06 23:03:36,538 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/math.h' 2024-03-06 23:03:36,539 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/meson.build' 2024-03-06 23:03:36,540 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/param.h' 2024-03-06 23:03:36,541 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/CMakeLists.txt' 2024-03-06 23:03:36,542 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/fenv.h' 2024-03-06 23:03:36,543 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/meson.build' 2024-03-06 23:03:36,544 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/bfin/longjmp.S' 2024-03-06 23:03:36,545 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/bfin/setjmp.S' 2024-03-06 23:03:36,546 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/getenv.c' 2024-03-06 23:03:36,547 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/setjmp.S' 2024-03-06 23:03:36,548 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/asm.h' 2024-03-06 23:03:36,549 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/libh.h' 2024-03-06 23:03:36,550 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/syscall.h' 2024-03-06 23:03:36,552 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/libcdtor.c' 2024-03-06 23:03:36,553 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/memcpy.c' 2024-03-06 23:03:36,554 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/memmove.c' 2024-03-06 23:03:36,555 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/memset.c' 2024-03-06 23:03:36,556 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/setjmp.c' 2024-03-06 23:03:36,557 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/include/pthread.h' 2024-03-06 23:03:36,558 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/errno.h' 2024-03-06 23:03:36,559 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/fcntl.h' 2024-03-06 23:03:36,560 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/signal.h' 2024-03-06 23:03:36,561 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/getenv.c' 2024-03-06 23:03:36,562 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/setjmp.S' 2024-03-06 23:03:36,564 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/asm.h' 2024-03-06 23:03:36,565 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/libh.h' 2024-03-06 23:03:36,566 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/syscall.h' 2024-03-06 23:03:36,567 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/csky/meson.build' 2024-03-06 23:03:36,568 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/csky/setjmp.S' 2024-03-06 23:03:36,569 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/d10v/setjmp.S' 2024-03-06 23:03:36,570 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/d30v/setjmp.S' 2024-03-06 23:03:36,571 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/epiphany/setjmp.S' 2024-03-06 23:03:36,572 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/fr30/setjmp.S' 2024-03-06 23:03:36,574 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/frv/setjmp.S' 2024-03-06 23:03:36,575 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/memcpy.S' 2024-03-06 23:03:36,576 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/memset.S' 2024-03-06 23:03:36,577 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/setjmp.S' 2024-03-06 23:03:36,578 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/stpcmp.S' 2024-03-06 23:03:36,579 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcmp.S' 2024-03-06 23:03:36,580 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcpy.S' 2024-03-06 23:03:36,581 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/strlen.S' 2024-03-06 23:03:36,582 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/defines.h' 2024-03-06 23:03:36,583 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/h8sx_strcpy.S' 2024-03-06 23:03:36,584 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/memcpy.S' 2024-03-06 23:03:36,585 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/memset.S' 2024-03-06 23:03:36,586 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memcpy.S' 2024-03-06 23:03:36,587 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memset.S' 2024-03-06 23:03:36,588 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/setarch.h' 2024-03-06 23:03:36,589 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/setjmp.S' 2024-03-06 23:03:36,590 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/strcmp.S' 2024-03-06 23:03:36,591 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/cmpsi.c' 2024-03-06 23:03:36,592 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/divhi3.S' 2024-03-06 23:03:36,593 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/divsi3.c' 2024-03-06 23:03:36,594 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulhi3.c' 2024-03-06 23:03:36,595 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulsi3.c' 2024-03-06 23:03:36,596 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/negsi2.c' 2024-03-06 23:03:36,597 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/psi.S' 2024-03-06 23:03:36,598 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/setjmp.S' 2024-03-06 23:03:36,599 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/shifts.c' 2024-03-06 23:03:36,600 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/DEFS.h' 2024-03-06 23:03:36,601 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/memchr.S' 2024-03-06 23:03:36,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcmp.S' 2024-03-06 23:03:36,603 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcpy.S' 2024-03-06 23:03:36,604 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/memset.S' 2024-03-06 23:03:36,605 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/pcc_prefix.s' 2024-03-06 23:03:36,606 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/setjmp.S' 2024-03-06 23:03:36,607 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcat.S' 2024-03-06 23:03:36,608 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcmp.S' 2024-03-06 23:03:36,609 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcpy.S' 2024-03-06 23:03:36,611 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strlen.S' 2024-03-06 23:03:36,612 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncat.S' 2024-03-06 23:03:36,613 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncmp.S' 2024-03-06 23:03:36,614 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncpy.S' 2024-03-06 23:03:36,615 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy.S' 2024-03-06 23:03:36,616 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy_ca.S' 2024-03-06 23:03:36,617 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr.S' 2024-03-06 23:03:36,618 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr_ca.S' 2024-03-06 23:03:36,619 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp.S' 2024-03-06 23:03:36,620 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp_ca.S' 2024-03-06 23:03:36,621 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy.S' 2024-03-06 23:03:36,623 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy_ca.S' 2024-03-06 23:03:36,624 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memset.S' 2024-03-06 23:03:36,625 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/setjmp.S' 2024-03-06 23:03:36,626 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr.S' 2024-03-06 23:03:36,627 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr_ca.S' 2024-03-06 23:03:36,628 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp.S' 2024-03-06 23:03:36,629 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp_ca.S' 2024-03-06 23:03:36,630 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy.S' 2024-03-06 23:03:36,631 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy_ca.S' 2024-03-06 23:03:36,632 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcspn.S' 2024-03-06 23:03:36,633 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strdup.S' 2024-03-06 23:03:36,634 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen.S' 2024-03-06 23:03:36,635 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen_ca.S' 2024-03-06 23:03:36,636 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat.S' 2024-03-06 23:03:36,637 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat_ca.S' 2024-03-06 23:03:36,638 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp.S' 2024-03-06 23:03:36,639 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp_ca.S' 2024-03-06 23:03:36,641 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy.S' 2024-03-06 23:03:36,642 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy_ca.S' 2024-03-06 23:03:36,643 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strpbrk.S' 2024-03-06 23:03:36,644 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strrchr.S' 2024-03-06 23:03:36,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/iq2000/setjmp.S' 2024-03-06 23:03:36,646 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/lm32/setjmp.S' 2024-03-06 23:03:36,647 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m32c/setjmp.S' 2024-03-06 23:03:36,648 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m32r/setjmp.S' 2024-03-06 23:03:36,649 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68hc11/setjmp.S' 2024-03-06 23:03:36,651 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/m68kasm.h' 2024-03-06 23:03:36,651 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/memcpy.S' 2024-03-06 23:03:36,652 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/memset.S' 2024-03-06 23:03:36,653 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/meson.build' 2024-03-06 23:03:36,654 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/setjmp.S' 2024-03-06 23:03:36,655 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/strcpy.c' 2024-03-06 23:03:36,656 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/strlen.c' 2024-03-06 23:03:36,657 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m88k/setjmp.S' 2024-03-06 23:03:36,659 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mep/setjmp.S' 2024-03-06 23:03:36,660 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/abort.c' 2024-03-06 23:03:36,661 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/longjmp.S' 2024-03-06 23:03:36,662 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/mb_endian.h' 2024-03-06 23:03:36,663 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/setjmp.S' 2024-03-06 23:03:36,664 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcmp.c' 2024-03-06 23:03:36,665 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcpy.c' 2024-03-06 23:03:36,666 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strlen.c' 2024-03-06 23:03:36,667 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/CMakeLists.txt' 2024-03-06 23:03:36,668 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/memcpy.S' 2024-03-06 23:03:36,670 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/memset.S' 2024-03-06 23:03:36,671 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/meson.build' 2024-03-06 23:03:36,672 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/setjmp.S' 2024-03-06 23:03:36,673 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/strcmp.S' 2024-03-06 23:03:36,674 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/strlen.c' 2024-03-06 23:03:36,675 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/strncpy.c' 2024-03-06 23:03:36,676 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/CMakeLists.txt' 2024-03-06 23:03:36,677 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/asm.h' 2024-03-06 23:03:36,678 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-fp.h' 2024-03-06 23:03:36,679 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-softfloat.h' 2024-03-06 23:03:36,680 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/meson.build' 2024-03-06 23:03:36,681 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/regdef.h' 2024-03-06 23:03:36,682 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/CMakeLists.txt' 2024-03-06 23:03:36,683 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/fenv.h' 2024-03-06 23:03:36,684 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/meson.build' 2024-03-06 23:03:36,685 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10200/setjmp.S' 2024-03-06 23:03:36,686 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memchr.S' 2024-03-06 23:03:36,687 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcmp.S' 2024-03-06 23:03:36,688 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcpy.S' 2024-03-06 23:03:36,689 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memset.S' 2024-03-06 23:03:36,690 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/setjmp.S' 2024-03-06 23:03:36,691 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strchr.S' 2024-03-06 23:03:36,692 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcmp.S' 2024-03-06 23:03:36,693 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcpy.S' 2024-03-06 23:03:36,694 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strlen.S' 2024-03-06 23:03:36,695 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/moxie/setjmp.S' 2024-03-06 23:03:36,696 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/msp430/meson.build' 2024-03-06 23:03:36,697 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/msp430/setjmp.S' 2024-03-06 23:03:36,699 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mt/setjmp.S' 2024-03-06 23:03:36,700 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/abort.c' 2024-03-06 23:03:36,701 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/memcpy.S' 2024-03-06 23:03:36,702 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/memset.S' 2024-03-06 23:03:36,703 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/setjmp.S' 2024-03-06 23:03:36,704 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcmp.S' 2024-03-06 23:03:36,705 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcpy.S' 2024-03-06 23:03:36,706 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/necv70/fastmath.S' 2024-03-06 23:03:36,707 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/necv70/necv70.tex' 2024-03-06 23:03:36,708 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/necv70/setjmp.S' 2024-03-06 23:03:36,709 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine/registers.h' 2024-03-06 23:03:36,710 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nios2/CMakeLists.txt' 2024-03-06 23:03:36,711 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nios2/meson.build' 2024-03-06 23:03:36,712 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nios2/setjmp.s' 2024-03-06 23:03:36,713 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/abort.c' 2024-03-06 23:03:36,714 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/assert.c' 2024-03-06 23:03:36,715 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/calloc.c' 2024-03-06 23:03:36,716 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/callocr.c' 2024-03-06 23:03:36,717 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/clock.c' 2024-03-06 23:03:36,718 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/exit.c' 2024-03-06 23:03:36,719 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/free.c' 2024-03-06 23:03:36,720 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/malloc.c' 2024-03-06 23:03:36,721 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/mallocr.c' 2024-03-06 23:03:36,722 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/misc.c' 2024-03-06 23:03:36,723 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/printf.c' 2024-03-06 23:03:36,724 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/putchar.c' 2024-03-06 23:03:36,725 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/puts.c' 2024-03-06 23:03:36,726 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/realloc.c' 2024-03-06 23:03:36,727 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/reallocr.c' 2024-03-06 23:03:36,728 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/write.c' 2024-03-06 23:03:36,729 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/or1k/setjmp.S' 2024-03-06 23:03:36,730 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/powerpc/meson.build' 2024-03-06 23:03:36,731 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/powerpc/setjmp.S' 2024-03-06 23:03:36,732 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine/fenv-fp.h' 2024-03-06 23:03:36,733 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys/fenv.h' 2024-03-06 23:03:36,735 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/pru/setjmp.s' 2024-03-06 23:03:36,736 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/CMakeLists.txt' 2024-03-06 23:03:36,737 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/ieeefp.c' 2024-03-06 23:03:36,738 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy-asm.S' 2024-03-06 23:03:36,739 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy.c' 2024-03-06 23:03:36,740 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove-stub.c' 2024-03-06 23:03:36,741 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove.S' 2024-03-06 23:03:36,742 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memset.S' 2024-03-06 23:03:36,743 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/meson.build' 2024-03-06 23:03:36,743 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/setjmp.S' 2024-03-06 23:03:36,744 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcmp.S' 2024-03-06 23:03:36,745 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcpy.c' 2024-03-06 23:03:36,746 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/strlen.c' 2024-03-06 23:03:36,748 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/CMakeLists.txt' 2024-03-06 23:03:36,749 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/math.h' 2024-03-06 23:03:36,750 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/meson.build' 2024-03-06 23:03:36,751 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/CMakeLists.txt' 2024-03-06 23:03:36,752 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/asm.h' 2024-03-06 23:03:36,753 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/fenv.h' 2024-03-06 23:03:36,754 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/meson.build' 2024-03-06 23:03:36,755 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/string.h' 2024-03-06 23:03:36,756 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rl78/setjmp.S' 2024-03-06 23:03:36,757 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/memchr.S' 2024-03-06 23:03:36,758 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/memcpy.S' 2024-03-06 23:03:36,759 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/memmove.S' 2024-03-06 23:03:36,760 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/mempcpy.S' 2024-03-06 23:03:36,761 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/memset.S' 2024-03-06 23:03:36,762 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/setjmp.S' 2024-03-06 23:03:36,763 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strcat.S' 2024-03-06 23:03:36,764 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strcmp.S' 2024-03-06 23:03:36,764 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strcpy.S' 2024-03-06 23:03:36,765 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strlen.S' 2024-03-06 23:03:36,766 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strncat.S' 2024-03-06 23:03:36,767 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strncmp.S' 2024-03-06 23:03:36,768 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strncpy.S' 2024-03-06 23:03:36,769 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/asm.h' 2024-03-06 23:03:36,770 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/memcpy.S' 2024-03-06 23:03:36,771 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/memset.S' 2024-03-06 23:03:36,772 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/setjmp.S' 2024-03-06 23:03:36,773 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/strcmp.S' 2024-03-06 23:03:36,774 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/strcpy.S' 2024-03-06 23:03:36,775 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/strlen.S' 2024-03-06 23:03:36,776 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/strncpy.S' 2024-03-06 23:03:36,778 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/CMakeLists.txt' 2024-03-06 23:03:36,779 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/meson.build' 2024-03-06 23:03:36,779 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/scan.c' 2024-03-06 23:03:36,780 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/setjmp.S' 2024-03-06 23:03:36,781 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/shuffle.c' 2024-03-06 23:03:36,783 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/CMakeLists.txt' 2024-03-06 23:03:36,784 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/meson.build' 2024-03-06 23:03:36,784 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/sparclet.h' 2024-03-06 23:03:36,786 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/CMakeLists.txt' 2024-03-06 23:03:36,787 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/fenv.h' 2024-03-06 23:03:36,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/meson.build' 2024-03-06 23:03:36,789 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/assert.c' 2024-03-06 23:03:36,790 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/c99ppe.h' 2024-03-06 23:03:36,792 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/calloc_ea.c' 2024-03-06 23:03:36,793 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/clearerr.c' 2024-03-06 23:03:36,794 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/creat.c' 2024-03-06 23:03:36,795 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/ea_internal.h' 2024-03-06 23:03:36,796 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fclose.c' 2024-03-06 23:03:36,797 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fdopen.c' 2024-03-06 23:03:36,798 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/feof.c' 2024-03-06 23:03:36,799 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/ferror.c' 2024-03-06 23:03:36,800 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fflush.c' 2024-03-06 23:03:36,801 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetc.c' 2024-03-06 23:03:36,802 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetpos.c' 2024-03-06 23:03:36,803 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fgets.c' 2024-03-06 23:03:36,804 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fileno.c' 2024-03-06 23:03:36,805 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fiprintf.S' 2024-03-06 23:03:36,806 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fiscanf.S' 2024-03-06 23:03:36,807 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fopen.c' 2024-03-06 23:03:36,808 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fprintf.S' 2024-03-06 23:03:36,809 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fputc.c' 2024-03-06 23:03:36,810 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fputs.c' 2024-03-06 23:03:36,811 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fread.c' 2024-03-06 23:03:36,812 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/free_ea.c' 2024-03-06 23:03:36,813 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/freopen.c' 2024-03-06 23:03:36,814 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fscanf.S' 2024-03-06 23:03:36,815 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fseek.c' 2024-03-06 23:03:36,816 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fsetpos.c' 2024-03-06 23:03:36,817 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/ftell.c' 2024-03-06 23:03:36,818 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fwrite.c' 2024-03-06 23:03:36,819 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/getc.c' 2024-03-06 23:03:36,820 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/getchar.c' 2024-03-06 23:03:36,821 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/gets.c' 2024-03-06 23:03:36,822 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/iprintf.S' 2024-03-06 23:03:36,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/iscanf.S' 2024-03-06 23:03:36,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/malloc_ea.c' 2024-03-06 23:03:36,824 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memchr_ea.c' 2024-03-06 23:03:36,825 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp.c' 2024-03-06 23:03:36,826 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp_ea.c' 2024-03-06 23:03:36,827 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy.c' 2024-03-06 23:03:36,828 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy_ea.c' 2024-03-06 23:03:36,829 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove.c' 2024-03-06 23:03:36,831 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove_ea.c' 2024-03-06 23:03:36,832 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memset.c' 2024-03-06 23:03:36,833 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memset_ea.c' 2024-03-06 23:03:36,834 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/mk_syscalls' 2024-03-06 23:03:36,835 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/mmap_ea.c' 2024-03-06 23:03:36,836 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/mremap_ea.c' 2024-03-06 23:03:36,837 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/msync_ea.c' 2024-03-06 23:03:36,837 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/munmap_ea.c' 2024-03-06 23:03:36,838 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/perror.c' 2024-03-06 23:03:36,839 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/posix_memalign_ea.c' 2024-03-06 23:03:36,841 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/pread_ea.c' 2024-03-06 23:03:36,841 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/printf.S' 2024-03-06 23:03:36,842 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/putc.c' 2024-03-06 23:03:36,843 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/putchar.c' 2024-03-06 23:03:36,844 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/puts.c' 2024-03-06 23:03:36,845 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/pwrite_ea.c' 2024-03-06 23:03:36,846 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/read_ea.c' 2024-03-06 23:03:36,847 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/readv_ea.c' 2024-03-06 23:03:36,848 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/realloc_ea.c' 2024-03-06 23:03:36,849 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/remove.c' 2024-03-06 23:03:36,850 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/rename.c' 2024-03-06 23:03:36,851 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/rewind.c' 2024-03-06 23:03:36,852 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/scanf.S' 2024-03-06 23:03:36,853 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/setbuf.c' 2024-03-06 23:03:36,854 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/setjmp.S' 2024-03-06 23:03:36,855 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/setvbuf.c' 2024-03-06 23:03:36,856 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/siprintf.S' 2024-03-06 23:03:36,857 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/siscanf.S' 2024-03-06 23:03:36,858 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sleep.c' 2024-03-06 23:03:36,859 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sniprintf.S' 2024-03-06 23:03:36,860 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/snprintf.S' 2024-03-06 23:03:36,861 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sprintf.S' 2024-03-06 23:03:36,862 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-gmon.c' 2024-03-06 23:03:36,863 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-mcount.S' 2024-03-06 23:03:36,864 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_stop.c' 2024-03-06 23:03:36,865 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_svcs.c' 2024-03-06 23:03:36,866 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timebase.c' 2024-03-06 23:03:36,867 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_flih.S' 2024-03-06 23:03:36,868 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_free.c' 2024-03-06 23:03:36,869 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_internal.h' 2024-03-06 23:03:36,870 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih.c' 2024-03-06 23:03:36,871 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih_reg.c' 2024-03-06 23:03:36,872 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_stop.c' 2024-03-06 23:03:36,873 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_svcs.c' 2024-03-06 23:03:36,874 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sscanf.S' 2024-03-06 23:03:36,875 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/stack_reg_va.S' 2024-03-06 23:03:36,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/stdio.c' 2024-03-06 23:03:36,877 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/straddr.h' 2024-03-06 23:03:36,878 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat.c' 2024-03-06 23:03:36,879 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat_ea.c' 2024-03-06 23:03:36,880 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr.c' 2024-03-06 23:03:36,881 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr_ea.c' 2024-03-06 23:03:36,882 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp.c' 2024-03-06 23:03:36,883 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp_ea.c' 2024-03-06 23:03:36,884 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.c' 2024-03-06 23:03:36,885 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.h' 2024-03-06 23:03:36,886 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy_ea.c' 2024-03-06 23:03:36,887 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn.c' 2024-03-06 23:03:36,888 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn_ea.c' 2024-03-06 23:03:36,889 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen.c' 2024-03-06 23:03:36,890 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen_ea.c' 2024-03-06 23:03:36,891 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat.c' 2024-03-06 23:03:36,892 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat_ea.c' 2024-03-06 23:03:36,893 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.c' 2024-03-06 23:03:36,894 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.h' 2024-03-06 23:03:36,895 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp_ea.c' 2024-03-06 23:03:36,896 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy.c' 2024-03-06 23:03:36,897 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy_ea.c' 2024-03-06 23:03:36,898 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk.c' 2024-03-06 23:03:36,899 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk_ea.c' 2024-03-06 23:03:36,900 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr.c' 2024-03-06 23:03:36,901 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr_ea.c' 2024-03-06 23:03:36,902 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn.c' 2024-03-06 23:03:36,903 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn_ea.c' 2024-03-06 23:03:36,904 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strstr_ea.c' 2024-03-06 23:03:36,905 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strxfrm.c' 2024-03-06 23:03:36,906 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/syscall.def' 2024-03-06 23:03:36,907 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpfile.c' 2024-03-06 23:03:36,908 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpnam.c' 2024-03-06 23:03:36,909 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/ungetc.c' 2024-03-06 23:03:36,910 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/usleep.c' 2024-03-06 23:03:36,911 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vec_literal.h' 2024-03-06 23:03:36,912 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiprintf.c' 2024-03-06 23:03:36,913 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiscanf.c' 2024-03-06 23:03:36,914 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vfprintf.c' 2024-03-06 23:03:36,915 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vfscanf.c' 2024-03-06 23:03:36,916 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/viprintf.c' 2024-03-06 23:03:36,917 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/viscanf.c' 2024-03-06 23:03:36,918 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vprintf.c' 2024-03-06 23:03:36,918 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vscanf.c' 2024-03-06 23:03:36,919 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiprintf.c' 2024-03-06 23:03:36,920 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiscanf.c' 2024-03-06 23:03:36,921 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsniprintf.c' 2024-03-06 23:03:36,922 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsnprintf.c' 2024-03-06 23:03:36,923 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsprintf.c' 2024-03-06 23:03:36,924 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsscanf.c' 2024-03-06 23:03:36,925 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/write_ea.c' 2024-03-06 23:03:36,926 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/writev_ea.c' 2024-03-06 23:03:36,927 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/include/ea.h' 2024-03-06 23:03:36,928 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/include/fenv.h' 2024-03-06 23:03:36,929 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/include/spu_timer.h' 2024-03-06 23:03:36,931 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/machine/_types.h' 2024-03-06 23:03:36,932 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/custom_file.h' 2024-03-06 23:03:36,933 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/dirent.h' 2024-03-06 23:03:36,934 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/errno.h' 2024-03-06 23:03:36,935 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/fenv.h' 2024-03-06 23:03:36,936 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/linux_syscalls.h' 2024-03-06 23:03:36,937 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/mman.h' 2024-03-06 23:03:36,938 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/sched.h' 2024-03-06 23:03:36,939 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/syscall.h' 2024-03-06 23:03:36,940 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/uio.h' 2024-03-06 23:03:36,941 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/utime.h' 2024-03-06 23:03:36,942 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/tic4x/setjmp.S' 2024-03-06 23:03:36,943 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/tic6x/setjmp.S' 2024-03-06 23:03:36,944 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/tic80/setjmp.S' 2024-03-06 23:03:36,945 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/v850/setjmp.S' 2024-03-06 23:03:36,946 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.c' 2024-03-06 23:03:36,948 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.h' 2024-03-06 23:03:36,949 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memmove.c' 2024-03-06 23:03:36,950 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.c' 2024-03-06 23:03:36,951 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.h' 2024-03-06 23:03:36,952 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/setjmp.S' 2024-03-06 23:03:36,953 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/cmpsi.c' 2024-03-06 23:03:36,954 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/divsi3.c' 2024-03-06 23:03:36,955 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/lshrhi.S' 2024-03-06 23:03:36,956 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/mulsi3.c' 2024-03-06 23:03:36,957 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/sdivhi3.S' 2024-03-06 23:03:36,958 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/smulhi3.S' 2024-03-06 23:03:36,959 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/udivhi3.S' 2024-03-06 23:03:36,960 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/umodhi3.S' 2024-03-06 23:03:36,961 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/CMakeLists.txt' 2024-03-06 23:03:36,962 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/i386mach.h' 2024-03-06 23:03:36,963 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr-32.S' 2024-03-06 23:03:36,964 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.S' 2024-03-06 23:03:36,965 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.c' 2024-03-06 23:03:36,966 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp-32.S' 2024-03-06 23:03:36,967 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.S' 2024-03-06 23:03:36,968 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.c' 2024-03-06 23:03:36,969 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-32.S' 2024-03-06 23:03:36,970 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-64.S' 2024-03-06 23:03:36,971 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy.S' 2024-03-06 23:03:36,972 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove-32.S' 2024-03-06 23:03:36,973 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.S' 2024-03-06 23:03:36,974 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.c' 2024-03-06 23:03:36,974 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-32.S' 2024-03-06 23:03:36,975 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-64.S' 2024-03-06 23:03:36,976 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memset.S' 2024-03-06 23:03:36,977 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/meson.build' 2024-03-06 23:03:36,978 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-32.S' 2024-03-06 23:03:36,979 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-64.S' 2024-03-06 23:03:36,980 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp.S' 2024-03-06 23:03:36,981 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr-32.S' 2024-03-06 23:03:36,982 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.S' 2024-03-06 23:03:36,983 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.c' 2024-03-06 23:03:36,984 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen-32.S' 2024-03-06 23:03:36,985 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.S' 2024-03-06 23:03:36,986 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.c' 2024-03-06 23:03:36,987 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/x86_64mach.h' 2024-03-06 23:03:36,988 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/CMakeLists.txt' 2024-03-06 23:03:36,989 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/fastmath.h' 2024-03-06 23:03:36,990 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/meson.build' 2024-03-06 23:03:36,991 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/CMakeLists.txt' 2024-03-06 23:03:36,992 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/fenv.h' 2024-03-06 23:03:36,993 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/meson.build' 2024-03-06 23:03:36,994 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xc16x/putchar.c' 2024-03-06 23:03:36,995 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xc16x/puts.c' 2024-03-06 23:03:36,996 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xc16x/setjmp.S' 2024-03-06 23:03:36,997 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/calloc.c' 2024-03-06 23:03:36,998 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/callocr.c' 2024-03-06 23:03:36,999 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/cfree.c' 2024-03-06 23:03:37,000 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/freer.c' 2024-03-06 23:03:37,001 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malign.c' 2024-03-06 23:03:37,002 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malloc.c' 2024-03-06 23:03:37,003 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mallocr.c' 2024-03-06 23:03:37,004 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/msize.c' 2024-03-06 23:03:37,005 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mstats.c' 2024-03-06 23:03:37,006 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/realloc.c' 2024-03-06 23:03:37,007 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/reallocr.c' 2024-03-06 23:03:37,008 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/setjmp.S' 2024-03-06 23:03:37,009 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/tiny-malloc.c' 2024-03-06 23:03:37,010 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/valloc.c' 2024-03-06 23:03:37,011 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/CMakeLists.txt' 2024-03-06 23:03:37,012 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memcpy.S' 2024-03-06 23:03:37,013 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memset.S' 2024-03-06 23:03:37,014 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/meson.build' 2024-03-06 23:03:37,015 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/setjmp.S' 2024-03-06 23:03:37,016 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcmp.S' 2024-03-06 23:03:37,017 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcpy.S' 2024-03-06 23:03:37,019 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strlen.S' 2024-03-06 23:03:37,020 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strncpy.S' 2024-03-06 23:03:37,021 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa-asm.h' 2024-03-06 23:03:37,022 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa.tex' 2024-03-06 23:03:37,023 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/CMakeLists.txt' 2024-03-06 23:03:37,024 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/core-isa.h' 2024-03-06 23:03:37,025 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/meson.build' 2024-03-06 23:03:37,026 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/CMakeLists.txt' 2024-03-06 23:03:37,027 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/fenv.h' 2024-03-06 23:03:37,028 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/meson.build' 2024-03-06 23:03:37,029 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/args.h' 2024-03-06 23:03:37,030 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcmp.S' 2024-03-06 23:03:37,031 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcpy.S' 2024-03-06 23:03:37,032 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/memmove.S' 2024-03-06 23:03:37,033 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/memset.S' 2024-03-06 23:03:37,034 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/setjmp.S' 2024-03-06 23:03:37,035 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/CMakeLists.txt' 2024-03-06 23:03:37,036 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/__dprintf.c' 2024-03-06 23:03:37,037 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/ffs.c' 2024-03-06 23:03:37,038 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/fini.c' 2024-03-06 23:03:37,039 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/init.c' 2024-03-06 23:03:37,040 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/lock.c' 2024-03-06 23:03:37,041 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/meson.build' 2024-03-06 23:03:37,042 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/misc.tex' 2024-03-06 23:03:37,043 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/unctrl.c' 2024-03-06 23:03:37,044 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/CMakeLists.txt' 2024-03-06 23:03:37,045 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/dso_handle.c' 2024-03-06 23:03:37,046 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/getauxval.c' 2024-03-06 23:03:37,047 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/inittls.c' 2024-03-06 23:03:37,048 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/meson.build' 2024-03-06 23:03:37,049 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/picosbrk.c' 2024-03-06 23:03:37,051 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/CMakeLists.txt' 2024-03-06 23:03:37,052 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/meson.build' 2024-03-06 23:03:37,053 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/tls.c' 2024-03-06 23:03:37,054 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/CMakeLists.txt' 2024-03-06 23:03:37,055 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/meson.build' 2024-03-06 23:03:37,056 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/tls.c' 2024-03-06 23:03:37,057 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/CMakeLists.txt' 2024-03-06 23:03:37,058 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/arm_tls.h' 2024-03-06 23:03:37,059 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/interrupt.c' 2024-03-06 23:03:37,060 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/meson.build' 2024-03-06 23:03:37,061 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/read_tp.S' 2024-03-06 23:03:37,062 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/tls.c' 2024-03-06 23:03:37,063 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/CMakeLists.txt' 2024-03-06 23:03:37,064 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/meson.build' 2024-03-06 23:03:37,065 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/tls.c' 2024-03-06 23:03:37,066 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/CMakeLists.txt' 2024-03-06 23:03:37,067 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/meson.build' 2024-03-06 23:03:37,068 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/tls.c' 2024-03-06 23:03:37,069 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/CMakeLists.txt' 2024-03-06 23:03:37,070 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/basename.c' 2024-03-06 23:03:37,071 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/cclass.h' 2024-03-06 23:03:37,072 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/cname.h' 2024-03-06 23:03:37,073 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/collate.c' 2024-03-06 23:03:37,074 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/collate.h' 2024-03-06 23:03:37,075 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/collcmp.c' 2024-03-06 23:03:37,076 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/dirname.c' 2024-03-06 23:03:37,077 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/engine.c' 2024-03-06 23:03:37,079 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/fnmatch.c' 2024-03-06 23:03:37,080 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/ftw.c' 2024-03-06 23:03:37,081 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/meson.build' 2024-03-06 23:03:37,082 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/namespace.h' 2024-03-06 23:03:37,083 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/nftw.c' 2024-03-06 23:03:37,084 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regcomp.c' 2024-03-06 23:03:37,086 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regerror.c' 2024-03-06 23:03:37,087 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regex2.h' 2024-03-06 23:03:37,088 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regexec.c' 2024-03-06 23:03:37,089 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regfree.c' 2024-03-06 23:03:37,090 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/rune.h' 2024-03-06 23:03:37,091 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/runetype.h' 2024-03-06 23:03:37,092 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/sysexits.h' 2024-03-06 23:03:37,093 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/un-namespace.h' 2024-03-06 23:03:37,094 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/utils.h' 2024-03-06 23:03:37,095 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/reent/meson.build' 2024-03-06 23:03:37,096 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/reent/reent.c' 2024-03-06 23:03:37,097 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/reent/reent.tex' 2024-03-06 23:03:37,098 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/CMakeLists.txt' 2024-03-06 23:03:37,099 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/bsd_qsort_r.c' 2024-03-06 23:03:37,100 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/bsearch.c' 2024-03-06 23:03:37,101 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/db_local.h' 2024-03-06 23:03:37,102 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/extern.h' 2024-03-06 23:03:37,103 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash.c' 2024-03-06 23:03:37,104 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash.h' 2024-03-06 23:03:37,106 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_bigkey.c' 2024-03-06 23:03:37,107 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_buf.c' 2024-03-06 23:03:37,108 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_func.c' 2024-03-06 23:03:37,109 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_log2.c' 2024-03-06 23:03:37,110 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_page.c' 2024-03-06 23:03:37,111 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hcreate.3' 2024-03-06 23:03:37,112 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hcreate.c' 2024-03-06 23:03:37,113 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hcreate_r.c' 2024-03-06 23:03:37,114 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/meson.build' 2024-03-06 23:03:37,115 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/ndbm.c' 2024-03-06 23:03:37,116 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/page.h' 2024-03-06 23:03:37,117 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/qsort.c' 2024-03-06 23:03:37,118 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/qsort_r.c' 2024-03-06 23:03:37,119 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tdelete.c' 2024-03-06 23:03:37,120 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tdestroy.c' 2024-03-06 23:03:37,121 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tfind.c' 2024-03-06 23:03:37,122 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tsearch.3' 2024-03-06 23:03:37,123 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tsearch.c' 2024-03-06 23:03:37,124 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/twalk.c' 2024-03-06 23:03:37,126 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/CMakeLists.txt' 2024-03-06 23:03:37,127 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/meson.build' 2024-03-06 23:03:37,128 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/psignal.c' 2024-03-06 23:03:37,128 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/raise.c' 2024-03-06 23:03:37,130 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/sig2str.c' 2024-03-06 23:03:37,131 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/signal.c' 2024-03-06 23:03:37,132 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/signal.tex' 2024-03-06 23:03:37,133 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/CMakeLists.txt' 2024-03-06 23:03:37,134 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/chk_fail.c' 2024-03-06 23:03:37,135 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/gets_chk.c' 2024-03-06 23:03:37,136 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/memcpy_chk.c' 2024-03-06 23:03:37,137 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/memmove_chk.c' 2024-03-06 23:03:37,138 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/mempcpy_chk.c' 2024-03-06 23:03:37,139 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/memset_chk.c' 2024-03-06 23:03:37,140 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/meson.build' 2024-03-06 23:03:37,141 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/snprintf_chk.c' 2024-03-06 23:03:37,142 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/sprintf_chk.c' 2024-03-06 23:03:37,143 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/ssp.tex' 2024-03-06 23:03:37,144 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/stack_protector.c' 2024-03-06 23:03:37,145 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/stpcpy_chk.c' 2024-03-06 23:03:37,146 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/stpncpy_chk.c' 2024-03-06 23:03:37,147 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/strcat_chk.c' 2024-03-06 23:03:37,148 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/strcpy_chk.c' 2024-03-06 23:03:37,149 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/strncat_chk.c' 2024-03-06 23:03:37,150 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/strncpy_chk.c' 2024-03-06 23:03:37,151 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/vsnprintf_chk.c' 2024-03-06 23:03:37,152 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/vsprintf_chk.c' 2024-03-06 23:03:37,154 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/asiprintf.c' 2024-03-06 23:03:37,155 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/asniprintf.c' 2024-03-06 23:03:37,156 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/asnprintf.c' 2024-03-06 23:03:37,157 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/asprintf.c' 2024-03-06 23:03:37,158 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/clearerr.c' 2024-03-06 23:03:37,159 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/clearerr_u.c' 2024-03-06 23:03:37,160 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/diprintf.c' 2024-03-06 23:03:37,161 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/dprintf.c' 2024-03-06 23:03:37,162 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fclose.c' 2024-03-06 23:03:37,163 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fcloseall.c' 2024-03-06 23:03:37,164 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fdopen.c' 2024-03-06 23:03:37,165 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/feof.c' 2024-03-06 23:03:37,166 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/feof_u.c' 2024-03-06 23:03:37,167 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ferror.c' 2024-03-06 23:03:37,168 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ferror_u.c' 2024-03-06 23:03:37,169 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fflush.c' 2024-03-06 23:03:37,170 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fflush_u.c' 2024-03-06 23:03:37,171 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetc.c' 2024-03-06 23:03:37,172 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetc_u.c' 2024-03-06 23:03:37,173 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetpos.c' 2024-03-06 23:03:37,174 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgets.c' 2024-03-06 23:03:37,175 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgets_u.c' 2024-03-06 23:03:37,176 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc.c' 2024-03-06 23:03:37,177 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc_u.c' 2024-03-06 23:03:37,178 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetws.c' 2024-03-06 23:03:37,179 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetws_u.c' 2024-03-06 23:03:37,180 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fileno.c' 2024-03-06 23:03:37,181 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fileno_u.c' 2024-03-06 23:03:37,182 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/findfp.c' 2024-03-06 23:03:37,183 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fiprintf.c' 2024-03-06 23:03:37,184 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fiscanf.c' 2024-03-06 23:03:37,185 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/flags.c' 2024-03-06 23:03:37,186 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/floatio.h' 2024-03-06 23:03:37,187 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fmemopen.c' 2024-03-06 23:03:37,188 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fopen.c' 2024-03-06 23:03:37,189 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fopencookie.c' 2024-03-06 23:03:37,190 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fprintf.c' 2024-03-06 23:03:37,191 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fpurge.c' 2024-03-06 23:03:37,192 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputc.c' 2024-03-06 23:03:37,193 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputc_u.c' 2024-03-06 23:03:37,194 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputs.c' 2024-03-06 23:03:37,195 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputs_u.c' 2024-03-06 23:03:37,196 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputwc.c' 2024-03-06 23:03:37,197 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputwc_u.c' 2024-03-06 23:03:37,198 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputws.c' 2024-03-06 23:03:37,199 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputws_u.c' 2024-03-06 23:03:37,200 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fread.c' 2024-03-06 23:03:37,201 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fread_u.c' 2024-03-06 23:03:37,202 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/freopen.c' 2024-03-06 23:03:37,203 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fscanf.c' 2024-03-06 23:03:37,204 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fseek.c' 2024-03-06 23:03:37,205 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fseeko.c' 2024-03-06 23:03:37,206 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fsetlocking.c' 2024-03-06 23:03:37,207 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fsetpos.c' 2024-03-06 23:03:37,208 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ftell.c' 2024-03-06 23:03:37,209 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ftello.c' 2024-03-06 23:03:37,210 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/funopen.c' 2024-03-06 23:03:37,211 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.c' 2024-03-06 23:03:37,212 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.h' 2024-03-06 23:03:37,213 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwalk.c' 2024-03-06 23:03:37,214 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwide.c' 2024-03-06 23:03:37,215 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwprintf.c' 2024-03-06 23:03:37,216 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwrite.c' 2024-03-06 23:03:37,217 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwrite_u.c' 2024-03-06 23:03:37,218 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwscanf.c' 2024-03-06 23:03:37,219 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getc.c' 2024-03-06 23:03:37,220 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getc_u.c' 2024-03-06 23:03:37,221 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getchar.c' 2024-03-06 23:03:37,222 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getchar_u.c' 2024-03-06 23:03:37,223 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getdelim.c' 2024-03-06 23:03:37,224 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getline.c' 2024-03-06 23:03:37,225 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/gets.c' 2024-03-06 23:03:37,226 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getw.c' 2024-03-06 23:03:37,227 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getwc.c' 2024-03-06 23:03:37,228 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getwc_u.c' 2024-03-06 23:03:37,229 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getwchar.c' 2024-03-06 23:03:37,230 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getwchar_u.c' 2024-03-06 23:03:37,231 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/iprintf.c' 2024-03-06 23:03:37,232 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/iscanf.c' 2024-03-06 23:03:37,233 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/local.h' 2024-03-06 23:03:37,234 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/makebuf.c' 2024-03-06 23:03:37,235 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/meson.build' 2024-03-06 23:03:37,236 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/mktemp.c' 2024-03-06 23:03:37,237 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfprintf.c' 2024-03-06 23:03:37,238 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfscanf.c' 2024-03-06 23:03:37,239 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf.c' 2024-03-06 23:03:37,240 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_float.c' 2024-03-06 23:03:37,241 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_i.c' 2024-03-06 23:03:37,243 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_local.h' 2024-03-06 23:03:37,244 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf.c' 2024-03-06 23:03:37,245 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_float.c' 2024-03-06 23:03:37,246 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_i.c' 2024-03-06 23:03:37,247 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_local.h' 2024-03-06 23:03:37,248 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/open_memstream.c' 2024-03-06 23:03:37,249 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/perror.c' 2024-03-06 23:03:37,250 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/printf.c' 2024-03-06 23:03:37,251 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putc.c' 2024-03-06 23:03:37,252 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putc_u.c' 2024-03-06 23:03:37,253 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putchar.c' 2024-03-06 23:03:37,254 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putchar_u.c' 2024-03-06 23:03:37,255 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/puts.c' 2024-03-06 23:03:37,256 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putw.c' 2024-03-06 23:03:37,257 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putwc.c' 2024-03-06 23:03:37,258 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putwc_u.c' 2024-03-06 23:03:37,259 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putwchar.c' 2024-03-06 23:03:37,260 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putwchar_u.c' 2024-03-06 23:03:37,261 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/refill.c' 2024-03-06 23:03:37,262 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/remove.c' 2024-03-06 23:03:37,263 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/rename.c' 2024-03-06 23:03:37,264 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/rewind.c' 2024-03-06 23:03:37,265 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/rget.c' 2024-03-06 23:03:37,266 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/scanf.c' 2024-03-06 23:03:37,267 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sccl.c' 2024-03-06 23:03:37,268 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/setbuf.c' 2024-03-06 23:03:37,269 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/setbuffer.c' 2024-03-06 23:03:37,270 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/setlinebuf.c' 2024-03-06 23:03:37,271 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/setvbuf.c' 2024-03-06 23:03:37,272 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/siprintf.c' 2024-03-06 23:03:37,273 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/siscanf.c' 2024-03-06 23:03:37,274 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sniprintf.c' 2024-03-06 23:03:37,275 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/snprintf.c' 2024-03-06 23:03:37,276 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sprintf.c' 2024-03-06 23:03:37,277 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sscanf.c' 2024-03-06 23:03:37,278 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio.c' 2024-03-06 23:03:37,279 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio.h' 2024-03-06 23:03:37,280 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio.tex' 2024-03-06 23:03:37,281 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.c' 2024-03-06 23:03:37,282 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.h' 2024-03-06 23:03:37,283 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfiprintf.c' 2024-03-06 23:03:37,284 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfiscanf.c' 2024-03-06 23:03:37,285 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfiwprintf.c' 2024-03-06 23:03:37,286 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfiwscanf.c' 2024-03-06 23:03:37,287 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfprintf.c' 2024-03-06 23:03:37,288 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfscanf.c' 2024-03-06 23:03:37,289 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfwprintf.c' 2024-03-06 23:03:37,290 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfwscanf.c' 2024-03-06 23:03:37,291 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/swprintf.c' 2024-03-06 23:03:37,292 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/swscanf.c' 2024-03-06 23:03:37,293 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/tmpfile.c' 2024-03-06 23:03:37,295 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/tmpnam.c' 2024-03-06 23:03:37,296 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ungetc.c' 2024-03-06 23:03:37,297 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ungetwc.c' 2024-03-06 23:03:37,298 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vasiprintf.c' 2024-03-06 23:03:37,299 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vasniprintf.c' 2024-03-06 23:03:37,300 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vasnprintf.c' 2024-03-06 23:03:37,301 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vasprintf.c' 2024-03-06 23:03:37,302 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vdiprintf.c' 2024-03-06 23:03:37,303 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vdprintf.c' 2024-03-06 23:03:37,304 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfieeefp.h' 2024-03-06 23:03:37,305 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfiprintf.c' 2024-03-06 23:03:37,306 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfiscanf.c' 2024-03-06 23:03:37,306 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfiwprintf.c' 2024-03-06 23:03:37,307 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfiwscanf.c' 2024-03-06 23:03:37,309 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfprintf.c' 2024-03-06 23:03:37,311 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfscanf.c' 2024-03-06 23:03:37,312 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfwprintf.c' 2024-03-06 23:03:37,314 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfwscanf.c' 2024-03-06 23:03:37,316 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/viprintf.c' 2024-03-06 23:03:37,317 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/viscanf.c' 2024-03-06 23:03:37,318 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vprintf.c' 2024-03-06 23:03:37,319 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vscanf.c' 2024-03-06 23:03:37,320 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsiprintf.c' 2024-03-06 23:03:37,321 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsiscanf.c' 2024-03-06 23:03:37,322 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsniprintf.c' 2024-03-06 23:03:37,323 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsnprintf.c' 2024-03-06 23:03:37,324 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsprintf.c' 2024-03-06 23:03:37,324 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsscanf.c' 2024-03-06 23:03:37,325 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vswprintf.c' 2024-03-06 23:03:37,326 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vswscanf.c' 2024-03-06 23:03:37,327 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vwprintf.c' 2024-03-06 23:03:37,328 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vwscanf.c' 2024-03-06 23:03:37,329 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/wbuf.c' 2024-03-06 23:03:37,330 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/wprintf.c' 2024-03-06 23:03:37,331 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/wscanf.c' 2024-03-06 23:03:37,332 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/wsetup.c' 2024-03-06 23:03:37,333 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sys/meson.build' 2024-03-06 23:03:37,334 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sys/stdio.h' 2024-03-06 23:03:37,336 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fdopen64.c' 2024-03-06 23:03:37,337 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fgetpos64.c' 2024-03-06 23:03:37,338 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fopen64.c' 2024-03-06 23:03:37,339 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/freopen64.c' 2024-03-06 23:03:37,340 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fseeko64.c' 2024-03-06 23:03:37,341 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fsetpos64.c' 2024-03-06 23:03:37,342 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/ftello64.c' 2024-03-06 23:03:37,343 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/meson.build' 2024-03-06 23:03:37,344 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.c' 2024-03-06 23:03:37,345 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.tex' 2024-03-06 23:03:37,346 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/tmpfile64.c' 2024-03-06 23:03:37,348 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/CMakeLists.txt' 2024-03-06 23:03:37,349 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/_Exit.c' 2024-03-06 23:03:37,350 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/__atexit.c' 2024-03-06 23:03:37,351 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/__call_atexit.c' 2024-03-06 23:03:37,352 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/a64l.c' 2024-03-06 23:03:37,353 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/abort.c' 2024-03-06 23:03:37,354 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/abs.c' 2024-03-06 23:03:37,355 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/aligned_alloc.c' 2024-03-06 23:03:37,356 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.c' 2024-03-06 23:03:37,357 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.h' 2024-03-06 23:03:37,358 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random_uniform.c' 2024-03-06 23:03:37,359 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/assert.c' 2024-03-06 23:03:37,360 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.c' 2024-03-06 23:03:37,361 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.h' 2024-03-06 23:03:37,362 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atof.c' 2024-03-06 23:03:37,363 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atoff.c' 2024-03-06 23:03:37,363 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atoi.c' 2024-03-06 23:03:37,364 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atol.c' 2024-03-06 23:03:37,365 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atoll.c' 2024-03-06 23:03:37,366 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/btowc.c' 2024-03-06 23:03:37,367 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/calloc.c' 2024-03-06 23:03:37,368 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/chacha_private.h' 2024-03-06 23:03:37,369 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_atexit.c' 2024-03-06 23:03:37,370 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_finalize.c' 2024-03-06 23:03:37,371 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/div.c' 2024-03-06 23:03:37,372 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/drand48.c' 2024-03-06 23:03:37,373 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/dtoa.c' 2024-03-06 23:03:37,374 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/ecvtbuf.c' 2024-03-06 23:03:37,376 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/efgcvt.c' 2024-03-06 23:03:37,377 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/environ.c' 2024-03-06 23:03:37,378 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/eprintf.c' 2024-03-06 23:03:37,379 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/erand48.c' 2024-03-06 23:03:37,379 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/exit.c' 2024-03-06 23:03:37,380 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-dmisc.c' 2024-03-06 23:03:37,382 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gdtoa.c' 2024-03-06 23:03:37,383 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gethex.c' 2024-03-06 23:03:37,384 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gmisc.c' 2024-03-06 23:03:37,385 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-hexnan.c' 2024-03-06 23:03:37,386 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-ldtoa.c' 2024-03-06 23:03:37,387 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa.h' 2024-03-06 23:03:37,388 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoaimp.h' 2024-03-06 23:03:37,389 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getenv.c' 2024-03-06 23:03:37,390 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getenv_r.c' 2024-03-06 23:03:37,391 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getopt.c' 2024-03-06 23:03:37,392 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.3' 2024-03-06 23:03:37,393 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.c' 2024-03-06 23:03:37,394 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/imaxabs.c' 2024-03-06 23:03:37,395 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/imaxdiv.c' 2024-03-06 23:03:37,396 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/itoa.c' 2024-03-06 23:03:37,397 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/jrand48.c' 2024-03-06 23:03:37,398 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/l64a.c' 2024-03-06 23:03:37,399 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/labs.c' 2024-03-06 23:03:37,400 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/lcong48.c' 2024-03-06 23:03:37,401 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/ldiv.c' 2024-03-06 23:03:37,402 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/ldtoa.c' 2024-03-06 23:03:37,404 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/llabs.c' 2024-03-06 23:03:37,405 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/lldiv.c' 2024-03-06 23:03:37,406 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/local.h' 2024-03-06 23:03:37,407 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/lrand48.c' 2024-03-06 23:03:37,408 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-calloc.c' 2024-03-06 23:03:37,409 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-cfree.c' 2024-03-06 23:03:37,410 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-free.c' 2024-03-06 23:03:37,411 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallinfo.c' 2024-03-06 23:03:37,412 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc.c' 2024-03-06 23:03:37,413 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_stats.c' 2024-03-06 23:03:37,414 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_usable_size.c' 2024-03-06 23:03:37,415 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallopt.c' 2024-03-06 23:03:37,416 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-memalign.c' 2024-03-06 23:03:37,417 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-pvalloc.c' 2024-03-06 23:03:37,418 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-realloc.c' 2024-03-06 23:03:37,419 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-valloc.c' 2024-03-06 23:03:37,420 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mallocr.c' 2024-03-06 23:03:37,423 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbctype.h' 2024-03-06 23:03:37,424 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mblen.c' 2024-03-06 23:03:37,425 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbrlen.c' 2024-03-06 23:03:37,425 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbrtowc.c' 2024-03-06 23:03:37,426 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbsinit.c' 2024-03-06 23:03:37,427 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbsnrtowcs.c' 2024-03-06 23:03:37,428 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbsrtowcs.c' 2024-03-06 23:03:37,429 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbstowcs.c' 2024-03-06 23:03:37,430 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc.c' 2024-03-06 23:03:37,431 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc_r.c' 2024-03-06 23:03:37,433 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/meson.build' 2024-03-06 23:03:37,434 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.c' 2024-03-06 23:03:37,435 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.h' 2024-03-06 23:03:37,436 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mrand48.c' 2024-03-06 23:03:37,437 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mstats.c' 2024-03-06 23:03:37,438 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mtrim.c' 2024-03-06 23:03:37,439 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mul_overflow.h' 2024-03-06 23:03:37,440 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-calloc.c' 2024-03-06 23:03:37,441 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-cfree.c' 2024-03-06 23:03:37,442 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-free.c' 2024-03-06 23:03:37,443 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-getpagesize.c' 2024-03-06 23:03:37,444 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallinfo.c' 2024-03-06 23:03:37,445 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc.c' 2024-03-06 23:03:37,446 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_stats.c' 2024-03-06 23:03:37,447 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_usable_size.c' 2024-03-06 23:03:37,448 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallopt.c' 2024-03-06 23:03:37,449 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-memalign.c' 2024-03-06 23:03:37,450 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-posix_memalign.c' 2024-03-06 23:03:37,451 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-pvalloc.c' 2024-03-06 23:03:37,452 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-realloc.c' 2024-03-06 23:03:37,453 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-valloc.c' 2024-03-06 23:03:37,454 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-mallocr.c' 2024-03-06 23:03:37,455 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nrand48.c' 2024-03-06 23:03:37,456 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit.c' 2024-03-06 23:03:37,457 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.c' 2024-03-06 23:03:37,458 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.h' 2024-03-06 23:03:37,459 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-atexit.c' 2024-03-06 23:03:37,460 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-cxa-atexit.c' 2024-03-06 23:03:37,461 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-exit.c' 2024-03-06 23:03:37,462 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.c' 2024-03-06 23:03:37,463 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.h' 2024-03-06 23:03:37,464 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/putenv.c' 2024-03-06 23:03:37,465 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/quick_exit.c' 2024-03-06 23:03:37,466 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rand.c' 2024-03-06 23:03:37,467 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.c' 2024-03-06 23:03:37,468 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.h' 2024-03-06 23:03:37,469 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rand_r.c' 2024-03-06 23:03:37,469 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/random.c' 2024-03-06 23:03:37,470 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/reallocarray.c' 2024-03-06 23:03:37,471 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/reallocf.c' 2024-03-06 23:03:37,472 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rpmatch.c' 2024-03-06 23:03:37,473 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/sb_charsets.c' 2024-03-06 23:03:37,475 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/seed48.c' 2024-03-06 23:03:37,476 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/setenv.c' 2024-03-06 23:03:37,477 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/srand.c' 2024-03-06 23:03:37,478 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/srand48.c' 2024-03-06 23:03:37,479 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/srandom.c' 2024-03-06 23:03:37,480 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/std.h' 2024-03-06 23:03:37,481 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/stdlib.tex' 2024-03-06 23:03:37,482 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtod.c' 2024-03-06 23:03:37,483 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtodg.c' 2024-03-06 23:03:37,485 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoimax.c' 2024-03-06 23:03:37,486 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtol.c' 2024-03-06 23:03:37,487 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtold.c' 2024-03-06 23:03:37,488 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoll.c' 2024-03-06 23:03:37,489 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtorx.c' 2024-03-06 23:03:37,490 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoul.c' 2024-03-06 23:03:37,491 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoull.c' 2024-03-06 23:03:37,492 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoumax.c' 2024-03-06 23:03:37,493 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/system.c' 2024-03-06 23:03:37,494 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/utoa.c' 2024-03-06 23:03:37,495 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcrtomb.c' 2024-03-06 23:03:37,496 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcsnrtombs.c' 2024-03-06 23:03:37,497 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcsrtombs.c' 2024-03-06 23:03:37,498 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstod.c' 2024-03-06 23:03:37,500 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoimax.c' 2024-03-06 23:03:37,501 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstol.c' 2024-03-06 23:03:37,502 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstold.c' 2024-03-06 23:03:37,503 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll.c' 2024-03-06 23:03:37,504 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll_r.c' 2024-03-06 23:03:37,505 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstombs.c' 2024-03-06 23:03:37,506 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoul.c' 2024-03-06 23:03:37,507 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull.c' 2024-03-06 23:03:37,508 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull_r.c' 2024-03-06 23:03:37,509 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoumax.c' 2024-03-06 23:03:37,510 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wctob.c' 2024-03-06 23:03:37,511 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb.c' 2024-03-06 23:03:37,512 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb_r.c' 2024-03-06 23:03:37,514 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/CMakeLists.txt' 2024-03-06 23:03:37,515 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/WIDTH-A' 2024-03-06 23:03:37,516 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/ambiguous.t' 2024-03-06 23:03:37,517 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/bcmp.c' 2024-03-06 23:03:37,518 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/bcopy.c' 2024-03-06 23:03:37,519 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/bzero.c' 2024-03-06 23:03:37,520 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/combining.t' 2024-03-06 23:03:37,521 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/explicit_bzero.c' 2024-03-06 23:03:37,522 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/ffsl.c' 2024-03-06 23:03:37,523 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/ffsll.c' 2024-03-06 23:03:37,524 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/fls.c' 2024-03-06 23:03:37,525 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/flsl.c' 2024-03-06 23:03:37,526 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/flsll.c' 2024-03-06 23:03:37,527 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/gnu_basename.c' 2024-03-06 23:03:37,528 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/index.c' 2024-03-06 23:03:37,529 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/local.h' 2024-03-06 23:03:37,530 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memccpy.c' 2024-03-06 23:03:37,531 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memchr.c' 2024-03-06 23:03:37,532 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memcmp.c' 2024-03-06 23:03:37,533 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memcpy.c' 2024-03-06 23:03:37,534 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memmem.c' 2024-03-06 23:03:37,535 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memmove.c' 2024-03-06 23:03:37,536 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/mempcpy.c' 2024-03-06 23:03:37,537 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memrchr.c' 2024-03-06 23:03:37,538 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memset.c' 2024-03-06 23:03:37,539 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/meson.build' 2024-03-06 23:03:37,540 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/mkunidata' 2024-03-06 23:03:37,541 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/mkwide' 2024-03-06 23:03:37,542 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/mkwidthA' 2024-03-06 23:03:37,542 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/rawmemchr.c' 2024-03-06 23:03:37,543 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/rindex.c' 2024-03-06 23:03:37,544 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/stpcpy.c' 2024-03-06 23:03:37,545 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/stpncpy.c' 2024-03-06 23:03:37,546 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/str-two-way.h' 2024-03-06 23:03:37,548 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcasecmp.c' 2024-03-06 23:03:37,549 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcasecmp_l.c' 2024-03-06 23:03:37,550 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcasestr.c' 2024-03-06 23:03:37,551 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcat.c' 2024-03-06 23:03:37,552 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strchr.c' 2024-03-06 23:03:37,553 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strchrnul.c' 2024-03-06 23:03:37,554 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcmp.c' 2024-03-06 23:03:37,554 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcoll.c' 2024-03-06 23:03:37,555 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcoll_l.c' 2024-03-06 23:03:37,556 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcpy.c' 2024-03-06 23:03:37,557 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcspn.c' 2024-03-06 23:03:37,558 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strdup.c' 2024-03-06 23:03:37,559 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strdup_r.c' 2024-03-06 23:03:37,560 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strerror.c' 2024-03-06 23:03:37,562 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strerror_r.c' 2024-03-06 23:03:37,563 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strings.tex' 2024-03-06 23:03:37,564 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strlcat.c' 2024-03-06 23:03:37,565 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strlcpy.c' 2024-03-06 23:03:37,566 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strlen.c' 2024-03-06 23:03:37,567 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strlwr.c' 2024-03-06 23:03:37,567 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncasecmp.c' 2024-03-06 23:03:37,568 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncasecmp_l.c' 2024-03-06 23:03:37,569 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncat.c' 2024-03-06 23:03:37,570 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncmp.c' 2024-03-06 23:03:37,571 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncpy.c' 2024-03-06 23:03:37,572 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strndup.c' 2024-03-06 23:03:37,573 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strndup_r.c' 2024-03-06 23:03:37,574 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strnlen.c' 2024-03-06 23:03:37,575 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strnstr.c' 2024-03-06 23:03:37,576 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strpbrk.c' 2024-03-06 23:03:37,577 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strrchr.c' 2024-03-06 23:03:37,578 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strsep.c' 2024-03-06 23:03:37,579 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strsignal.c' 2024-03-06 23:03:37,580 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strspn.c' 2024-03-06 23:03:37,581 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strstr.c' 2024-03-06 23:03:37,582 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strtok.c' 2024-03-06 23:03:37,583 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strtok_r.c' 2024-03-06 23:03:37,584 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strupr.c' 2024-03-06 23:03:37,585 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strverscmp.c' 2024-03-06 23:03:37,586 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strxfrm.c' 2024-03-06 23:03:37,587 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strxfrm_l.c' 2024-03-06 23:03:37,588 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/swab.c' 2024-03-06 23:03:37,589 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/timingsafe_bcmp.c' 2024-03-06 23:03:37,590 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/timingsafe_memcmp.c' 2024-03-06 23:03:37,591 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/u_strerr.c' 2024-03-06 23:03:37,592 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/uniset' 2024-03-06 23:03:37,593 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcpcpy.c' 2024-03-06 23:03:37,594 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcpncpy.c' 2024-03-06 23:03:37,595 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp.c' 2024-03-06 23:03:37,596 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp_l.c' 2024-03-06 23:03:37,597 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscat.c' 2024-03-06 23:03:37,598 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcschr.c' 2024-03-06 23:03:37,599 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscmp.c' 2024-03-06 23:03:37,600 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscoll.c' 2024-03-06 23:03:37,601 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscoll_l.c' 2024-03-06 23:03:37,601 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscpy.c' 2024-03-06 23:03:37,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscspn.c' 2024-03-06 23:03:37,603 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsdup.c' 2024-03-06 23:03:37,604 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcslcat.c' 2024-03-06 23:03:37,605 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcslcpy.c' 2024-03-06 23:03:37,606 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcslen.c' 2024-03-06 23:03:37,607 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp.c' 2024-03-06 23:03:37,608 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp_l.c' 2024-03-06 23:03:37,609 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncat.c' 2024-03-06 23:03:37,610 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncmp.c' 2024-03-06 23:03:37,611 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncpy.c' 2024-03-06 23:03:37,612 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsnlen.c' 2024-03-06 23:03:37,613 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcspbrk.c' 2024-03-06 23:03:37,614 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsrchr.c' 2024-03-06 23:03:37,615 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsspn.c' 2024-03-06 23:03:37,616 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsstr.c' 2024-03-06 23:03:37,617 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcstok.c' 2024-03-06 23:03:37,618 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcstrings.tex' 2024-03-06 23:03:37,619 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcswidth.c' 2024-03-06 23:03:37,620 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm.c' 2024-03-06 23:03:37,621 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm_l.c' 2024-03-06 23:03:37,622 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcwidth.c' 2024-03-06 23:03:37,623 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wide.t' 2024-03-06 23:03:37,624 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemchr.c' 2024-03-06 23:03:37,625 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemcmp.c' 2024-03-06 23:03:37,626 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemcpy.c' 2024-03-06 23:03:37,627 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemmove.c' 2024-03-06 23:03:37,628 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmempcpy.c' 2024-03-06 23:03:37,629 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemset.c' 2024-03-06 23:03:37,630 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/xpg_strerror_r.c' 2024-03-06 23:03:37,632 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/README' 2024-03-06 23:03:37,633 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/poll.h' 2024-03-06 23:03:37,634 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys/poll.h' 2024-03-06 23:03:37,635 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/CMakeLists.txt' 2024-03-06 23:03:37,636 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/asctime.c' 2024-03-06 23:03:37,637 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/asctime_r.c' 2024-03-06 23:03:37,638 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/clock.c' 2024-03-06 23:03:37,639 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/ctime.c' 2024-03-06 23:03:37,640 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/ctime_r.c' 2024-03-06 23:03:37,641 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/difftime.c' 2024-03-06 23:03:37,642 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/gettzinfo.c' 2024-03-06 23:03:37,643 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/gmtime.c' 2024-03-06 23:03:37,644 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/gmtime_r.c' 2024-03-06 23:03:37,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/lcltime.c' 2024-03-06 23:03:37,646 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/lcltime_buf.c' 2024-03-06 23:03:37,647 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/lcltime_r.c' 2024-03-06 23:03:37,648 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/local.h' 2024-03-06 23:03:37,649 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/meson.build' 2024-03-06 23:03:37,650 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/mktime.c' 2024-03-06 23:03:37,651 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/month_lengths.c' 2024-03-06 23:03:37,652 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/strftime.c' 2024-03-06 23:03:37,654 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/strptime.c' 2024-03-06 23:03:37,655 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/time.c' 2024-03-06 23:03:37,656 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/time.tex' 2024-03-06 23:03:37,657 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/tzcalc_limits.c' 2024-03-06 23:03:37,658 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/tzset.c' 2024-03-06 23:03:37,659 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/tzvars.c' 2024-03-06 23:03:37,660 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/wcsftime.c' 2024-03-06 23:03:37,662 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/CMakeLists.txt' 2024-03-06 23:03:37,663 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/asprintf.c' 2024-03-06 23:03:37,664 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_engine.c' 2024-03-06 23:03:37,665 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_ryu.c' 2024-03-06 23:03:37,666 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_engine.c' 2024-03-06 23:03:37,666 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_ryu.c' 2024-03-06 23:03:37,668 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atold_engine.c' 2024-03-06 23:03:37,669 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/bufio.c' 2024-03-06 23:03:37,670 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/clearerr.c' 2024-03-06 23:03:37,671 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/compare_exchange.c' 2024-03-06 23:03:37,672 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/conv_flt.c' 2024-03-06 23:03:37,673 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_data.c' 2024-03-06 23:03:37,674 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.c' 2024-03-06 23:03:37,675 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.h' 2024-03-06 23:03:37,676 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_ryu.c' 2024-03-06 23:03:37,677 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt.c' 2024-03-06 23:03:37,678 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt_r.c' 2024-03-06 23:03:37,679 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf.c' 2024-03-06 23:03:37,680 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf_r.c' 2024-03-06 23:03:37,681 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/exchange.c' 2024-03-06 23:03:37,682 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fclose.c' 2024-03-06 23:03:37,683 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt.c' 2024-03-06 23:03:37,684 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt_r.c' 2024-03-06 23:03:37,685 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf.c' 2024-03-06 23:03:37,686 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf_r.c' 2024-03-06 23:03:37,687 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fdevopen.c' 2024-03-06 23:03:37,688 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fdopen.c' 2024-03-06 23:03:37,689 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/feof.c' 2024-03-06 23:03:37,690 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ferror.c' 2024-03-06 23:03:37,691 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fflush.c' 2024-03-06 23:03:37,692 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fgetc.c' 2024-03-06 23:03:37,693 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fgets.c' 2024-03-06 23:03:37,694 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fileno.c' 2024-03-06 23:03:37,695 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrget.c' 2024-03-06 23:03:37,696 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrput.c' 2024-03-06 23:03:37,697 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrputalloc.c' 2024-03-06 23:03:37,698 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fmemopen.c' 2024-03-06 23:03:37,699 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fopen.c' 2024-03-06 23:03:37,700 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fprintf.c' 2024-03-06 23:03:37,701 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fputc.c' 2024-03-06 23:03:37,702 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fputs.c' 2024-03-06 23:03:37,703 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fread.c' 2024-03-06 23:03:37,703 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/freopen.c' 2024-03-06 23:03:37,704 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fscanf.c' 2024-03-06 23:03:37,705 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fseek.c' 2024-03-06 23:03:37,706 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fseeko.c' 2024-03-06 23:03:37,707 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftell.c' 2024-03-06 23:03:37,708 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftello.c' 2024-03-06 23:03:37,709 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_data.c' 2024-03-06 23:03:37,710 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.c' 2024-03-06 23:03:37,711 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.h' 2024-03-06 23:03:37,712 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_ryu.c' 2024-03-06 23:03:37,714 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fwrite.c' 2024-03-06 23:03:37,714 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvt.c' 2024-03-06 23:03:37,715 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvtf.c' 2024-03-06 23:03:37,716 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/getchar.c' 2024-03-06 23:03:37,717 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/gets.c' 2024-03-06 23:03:37,718 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/make-dtoa-data' 2024-03-06 23:03:37,719 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/matchcaseprefix.c' 2024-03-06 23:03:37,720 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/meson.build' 2024-03-06 23:03:37,721 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/mktemp.c' 2024-03-06 23:03:37,722 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/perror.c' 2024-03-06 23:03:37,723 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/posixiob.c' 2024-03-06 23:03:37,724 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/printf.c' 2024-03-06 23:03:37,725 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/putchar.c' 2024-03-06 23:03:37,726 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/puts.c' 2024-03-06 23:03:37,727 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/remove.c' 2024-03-06 23:03:37,728 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/rewind.c' 2024-03-06 23:03:37,729 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_divpow2.c' 2024-03-06 23:03:37,730 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log10.c' 2024-03-06 23:03:37,731 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log2pow5.c' 2024-03-06 23:03:37,732 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_pow5bits.c' 2024-03-06 23:03:37,733 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_table.c' 2024-03-06 23:03:37,734 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_umul128.c' 2024-03-06 23:03:37,735 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf.c' 2024-03-06 23:03:37,736 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf_private.h' 2024-03-06 23:03:37,737 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuf.c' 2024-03-06 23:03:37,738 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuffer.c' 2024-03-06 23:03:37,739 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/setlinebuf.c' 2024-03-06 23:03:37,740 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/setvbuf.c' 2024-03-06 23:03:37,741 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sflags.c' 2024-03-06 23:03:37,742 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintf.c' 2024-03-06 23:03:37,743 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintfd.c' 2024-03-06 23:03:37,744 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintff.c' 2024-03-06 23:03:37,745 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintf.c' 2024-03-06 23:03:37,746 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintfd.c' 2024-03-06 23:03:37,747 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintff.c' 2024-03-06 23:03:37,748 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sscanf.c' 2024-03-06 23:03:37,749 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio-bufio.h' 2024-03-06 23:03:37,750 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio.h' 2024-03-06 23:03:37,751 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio_private.h' 2024-03-06 23:03:37,752 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromd.c' 2024-03-06 23:03:37,753 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromf.c' 2024-03-06 23:03:37,754 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod.c' 2024-03-06 23:03:37,755 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod_l.c' 2024-03-06 23:03:37,756 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof.c' 2024-03-06 23:03:37,757 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof_l.c' 2024-03-06 23:03:37,758 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoi.h' 2024-03-06 23:03:37,759 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoimax.c' 2024-03-06 23:03:37,760 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol.c' 2024-03-06 23:03:37,761 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol_l.c' 2024-03-06 23:03:37,762 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold.c' 2024-03-06 23:03:37,763 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold_l.c' 2024-03-06 23:03:37,764 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll.c' 2024-03-06 23:03:37,765 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll_l.c' 2024-03-06 23:03:37,766 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul.c' 2024-03-06 23:03:37,767 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul_l.c' 2024-03-06 23:03:37,768 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull.c' 2024-03-06 23:03:37,769 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull_l.c' 2024-03-06 23:03:37,770 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoumax.c' 2024-03-06 23:03:37,771 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpfile.c' 2024-03-06 23:03:37,772 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpnam.c' 2024-03-06 23:03:37,773 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ultoa_invert.c' 2024-03-06 23:03:37,774 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ungetc.c' 2024-03-06 23:03:37,775 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vasprintf.c' 2024-03-06 23:03:37,776 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiprintf.c' 2024-03-06 23:03:37,777 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiscanf.c' 2024-03-06 23:03:37,778 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintf.c' 2024-03-06 23:03:37,779 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintff.c' 2024-03-06 23:03:37,780 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanf.c' 2024-03-06 23:03:37,781 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanff.c' 2024-03-06 23:03:37,782 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vprintf.c' 2024-03-06 23:03:37,783 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vscanf.c' 2024-03-06 23:03:37,784 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vsnprintf.c' 2024-03-06 23:03:37,785 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vsprintf.c' 2024-03-06 23:03:37,786 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vsscanf.c' 2024-03-06 23:03:37,787 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/xtoa_fast.h' 2024-03-06 23:03:37,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/common.h' 2024-03-06 23:03:37,789 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/d2s_intrinsics.h' 2024-03-06 23:03:37,790 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/digit_table.h' 2024-03-06 23:03:37,791 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/f2s_intrinsics.h' 2024-03-06 23:03:37,792 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu.h' 2024-03-06 23:03:37,793 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu_parse.h' 2024-03-06 23:03:37,795 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/CMakeLists.txt' 2024-03-06 23:03:37,796 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/README' 2024-03-06 23:03:37,797 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/meson.build' 2024-03-06 23:03:37,798 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr.c' 2024-03-06 23:03:37,799 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_array.c' 2024-03-06 23:03:37,800 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float.c' 2024-03-06 23:03:37,801 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float_vax.c' 2024-03-06 23:03:37,802 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_mem.c' 2024-03-06 23:03:37,803 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.c' 2024-03-06 23:03:37,804 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.h' 2024-03-06 23:03:37,805 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_rec.c' 2024-03-06 23:03:37,806 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_reference.c' 2024-03-06 23:03:37,807 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_sizeof.c' 2024-03-06 23:03:37,809 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_stdio.c' 2024-03-06 23:03:37,810 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/CMakeLists.txt' 2024-03-06 23:03:37,811 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/libm.in.xml' 2024-03-06 23:03:37,812 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/meson.build' 2024-03-06 23:03:37,814 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/CMakeLists.txt' 2024-03-06 23:03:37,815 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/acoshl.c' 2024-03-06 23:03:37,816 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/acosl.c' 2024-03-06 23:03:37,817 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/asinhl.c' 2024-03-06 23:03:37,818 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/asinl.c' 2024-03-06 23:03:37,819 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/atan2l.c' 2024-03-06 23:03:37,820 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/atanhl.c' 2024-03-06 23:03:37,821 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/atanl.c' 2024-03-06 23:03:37,822 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/cbrtl.c' 2024-03-06 23:03:37,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/ceill.c' 2024-03-06 23:03:37,824 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/copysignl.c' 2024-03-06 23:03:37,825 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/cosf.c' 2024-03-06 23:03:37,825 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/coshl.c' 2024-03-06 23:03:37,826 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/cosl.c' 2024-03-06 23:03:37,827 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/dreml.c' 2024-03-06 23:03:37,828 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/erfcl.c' 2024-03-06 23:03:37,829 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/erfl.c' 2024-03-06 23:03:37,830 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp.c' 2024-03-06 23:03:37,831 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp10l.c' 2024-03-06 23:03:37,832 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp2.c' 2024-03-06 23:03:37,833 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp2l.c' 2024-03-06 23:03:37,834 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp_data.c' 2024-03-06 23:03:37,836 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/expl.c' 2024-03-06 23:03:37,837 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/expm1l.c' 2024-03-06 23:03:37,838 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fabsl.c' 2024-03-06 23:03:37,839 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fdiml.c' 2024-03-06 23:03:37,840 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fdlibm.h' 2024-03-06 23:03:37,841 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/finitel.c' 2024-03-06 23:03:37,842 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/floorl.c' 2024-03-06 23:03:37,843 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fmal.c' 2024-03-06 23:03:37,844 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fmaxl.c' 2024-03-06 23:03:37,845 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fminl.c' 2024-03-06 23:03:37,846 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fmodl.c' 2024-03-06 23:03:37,847 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/frexpl.c' 2024-03-06 23:03:37,848 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/ilogbl.c' 2024-03-06 23:03:37,849 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/isgreater.c' 2024-03-06 23:03:37,850 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/isinfl.c' 2024-03-06 23:03:37,851 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/isnanl.c' 2024-03-06 23:03:37,852 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/ldexpl.c' 2024-03-06 23:03:37,853 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/lgammal.c' 2024-03-06 23:03:37,854 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/llrintl.c' 2024-03-06 23:03:37,855 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/llroundl.c' 2024-03-06 23:03:37,856 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/local.h' 2024-03-06 23:03:37,857 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log.c' 2024-03-06 23:03:37,858 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log10l.c' 2024-03-06 23:03:37,859 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log1pl.c' 2024-03-06 23:03:37,860 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log2.c' 2024-03-06 23:03:37,861 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log2_data.c' 2024-03-06 23:03:37,862 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log2l.c' 2024-03-06 23:03:37,863 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log_data.c' 2024-03-06 23:03:37,865 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/logbl.c' 2024-03-06 23:03:37,866 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/logl.c' 2024-03-06 23:03:37,867 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/lrintl.c' 2024-03-06 23:03:37,868 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/lroundl.c' 2024-03-06 23:03:37,869 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_config.h' 2024-03-06 23:03:37,870 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_check_oflow.c' 2024-03-06 23:03:37,871 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_check_uflow.c' 2024-03-06 23:03:37,872 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_divzero.c' 2024-03-06 23:03:37,873 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_invalid.c' 2024-03-06 23:03:37,874 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_may_uflow.c' 2024-03-06 23:03:37,875 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_oflow.c' 2024-03-06 23:03:37,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_uflow.c' 2024-03-06 23:03:37,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_with_errno.c' 2024-03-06 23:03:37,877 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_oflowf.c' 2024-03-06 23:03:37,878 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_uflowf.c' 2024-03-06 23:03:37,879 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_divzerof.c' 2024-03-06 23:03:37,880 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_invalidf.c' 2024-03-06 23:03:37,881 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_may_uflowf.c' 2024-03-06 23:03:37,882 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_oflowf.c' 2024-03-06 23:03:37,883 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_uflowf.c' 2024-03-06 23:03:37,884 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_with_errnof.c' 2024-03-06 23:03:37,885 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errl_invalidl.c' 2024-03-06 23:03:37,886 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errl_with_errnol.c' 2024-03-06 23:03:37,887 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_inexact.c' 2024-03-06 23:03:37,888 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_inexactf.c' 2024-03-06 23:03:37,889 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/meson.build' 2024-03-06 23:03:37,890 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/modfl.c' 2024-03-06 23:03:37,891 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nanl.c' 2024-03-06 23:03:37,892 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nearbyintl.c' 2024-03-06 23:03:37,893 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nextafterl.c' 2024-03-06 23:03:37,894 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nexttoward.c' 2024-03-06 23:03:37,895 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nexttowardf.c' 2024-03-06 23:03:37,896 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nexttowardl.c' 2024-03-06 23:03:37,897 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/pow.c' 2024-03-06 23:03:37,898 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/pow10l.c' 2024-03-06 23:03:37,899 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/pow_log_data.c' 2024-03-06 23:03:37,900 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/powl.c' 2024-03-06 23:03:37,901 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/remainderl.c' 2024-03-06 23:03:37,902 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/remquol.c' 2024-03-06 23:03:37,903 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/rintl.c' 2024-03-06 23:03:37,904 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/roundl.c' 2024-03-06 23:03:37,905 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_cbrt.c' 2024-03-06 23:03:37,906 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_copysign.c' 2024-03-06 23:03:37,907 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_exp10.c' 2024-03-06 23:03:37,908 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_expm1.c' 2024-03-06 23:03:37,909 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fdim.c' 2024-03-06 23:03:37,910 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_finite.c' 2024-03-06 23:03:37,911 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fma.c' 2024-03-06 23:03:37,912 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fmax.c' 2024-03-06 23:03:37,913 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fmin.c' 2024-03-06 23:03:37,914 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fpclassify.c' 2024-03-06 23:03:37,915 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_getpayload.c' 2024-03-06 23:03:37,916 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_ilogb.c' 2024-03-06 23:03:37,917 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_infinity.c' 2024-03-06 23:03:37,918 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_iseqsig.c' 2024-03-06 23:03:37,918 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_isinf.c' 2024-03-06 23:03:37,919 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_isinfd.c' 2024-03-06 23:03:37,920 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_isnan.c' 2024-03-06 23:03:37,921 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_isnand.c' 2024-03-06 23:03:37,922 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_issignaling.c' 2024-03-06 23:03:37,923 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_llrint.c' 2024-03-06 23:03:37,924 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_llround.c' 2024-03-06 23:03:37,925 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_log1p.c' 2024-03-06 23:03:37,926 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_log2.c' 2024-03-06 23:03:37,927 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_logb.c' 2024-03-06 23:03:37,928 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_lrint.c' 2024-03-06 23:03:37,929 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_lround.c' 2024-03-06 23:03:37,930 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_modf.c' 2024-03-06 23:03:37,931 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_nan.c' 2024-03-06 23:03:37,932 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_nearbyint.c' 2024-03-06 23:03:37,933 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_nextafter.c' 2024-03-06 23:03:37,934 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_pow10.c' 2024-03-06 23:03:37,935 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_remquo.c' 2024-03-06 23:03:37,936 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_rint.c' 2024-03-06 23:03:37,937 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_round.c' 2024-03-06 23:03:37,938 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_scalbln.c' 2024-03-06 23:03:37,939 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_scalbn.c' 2024-03-06 23:03:37,940 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_signbit.c' 2024-03-06 23:03:37,941 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_trunc.c' 2024-03-06 23:03:37,942 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/scalblnl.c' 2024-03-06 23:03:37,943 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/scalbnl.c' 2024-03-06 23:03:37,944 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_cbrt.c' 2024-03-06 23:03:37,945 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_copysign.c' 2024-03-06 23:03:37,946 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_exp.c' 2024-03-06 23:03:37,947 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_exp10.c' 2024-03-06 23:03:37,948 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_exp2.c' 2024-03-06 23:03:37,949 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_exp2_data.c' 2024-03-06 23:03:37,950 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_expm1.c' 2024-03-06 23:03:37,951 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fdim.c' 2024-03-06 23:03:37,952 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_finite.c' 2024-03-06 23:03:37,953 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fma.c' 2024-03-06 23:03:37,954 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fmax.c' 2024-03-06 23:03:37,955 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fmin.c' 2024-03-06 23:03:37,956 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fpclassify.c' 2024-03-06 23:03:37,957 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_getpayload.c' 2024-03-06 23:03:37,958 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_ilogb.c' 2024-03-06 23:03:37,959 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_infinity.c' 2024-03-06 23:03:37,960 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_iseqsig.c' 2024-03-06 23:03:37,961 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_isinf.c' 2024-03-06 23:03:37,962 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_isinff.c' 2024-03-06 23:03:37,962 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_isnan.c' 2024-03-06 23:03:37,963 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_isnanf.c' 2024-03-06 23:03:37,964 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_issignaling.c' 2024-03-06 23:03:37,965 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_llrint.c' 2024-03-06 23:03:37,966 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_llround.c' 2024-03-06 23:03:37,967 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log.c' 2024-03-06 23:03:37,968 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log1p.c' 2024-03-06 23:03:37,969 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log2.c' 2024-03-06 23:03:37,970 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log2_data.c' 2024-03-06 23:03:37,971 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log_data.c' 2024-03-06 23:03:37,972 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_logb.c' 2024-03-06 23:03:37,973 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_lrint.c' 2024-03-06 23:03:37,974 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_lround.c' 2024-03-06 23:03:37,975 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_modf.c' 2024-03-06 23:03:37,976 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_nan.c' 2024-03-06 23:03:37,977 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_nearbyint.c' 2024-03-06 23:03:37,978 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_nextafter.c' 2024-03-06 23:03:37,979 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_pow.c' 2024-03-06 23:03:37,980 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_pow10.c' 2024-03-06 23:03:37,981 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_pow_log2_data.c' 2024-03-06 23:03:37,982 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_remquo.c' 2024-03-06 23:03:37,983 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_rint.c' 2024-03-06 23:03:37,984 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_round.c' 2024-03-06 23:03:37,985 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_scalbln.c' 2024-03-06 23:03:37,986 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_scalbn.c' 2024-03-06 23:03:37,987 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_trunc.c' 2024-03-06 23:03:37,988 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/signgam.c' 2024-03-06 23:03:37,989 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sincosf.c' 2024-03-06 23:03:37,990 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sincosf.h' 2024-03-06 23:03:37,991 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sincosf_data.c' 2024-03-06 23:03:37,992 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sincosl.c' 2024-03-06 23:03:37,993 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sinf.c' 2024-03-06 23:03:37,994 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sinhl.c' 2024-03-06 23:03:37,995 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sinl.c' 2024-03-06 23:03:37,996 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sl_finite.c' 2024-03-06 23:03:37,997 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sl_iseqsig.c' 2024-03-06 23:03:37,998 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sl_issignaling.c' 2024-03-06 23:03:37,998 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sqrtl.c' 2024-03-06 23:03:37,999 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/tanhl.c' 2024-03-06 23:03:38,000 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/tanl.c' 2024-03-06 23:03:38,001 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/tgammal.c' 2024-03-06 23:03:38,002 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/truncl.c' 2024-03-06 23:03:38,004 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cabs.c' 2024-03-06 23:03:38,005 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cabsf.c' 2024-03-06 23:03:38,006 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cabsl.c' 2024-03-06 23:03:38,007 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacos.c' 2024-03-06 23:03:38,008 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacosf.c' 2024-03-06 23:03:38,009 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacosh.c' 2024-03-06 23:03:38,010 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacoshf.c' 2024-03-06 23:03:38,011 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacoshl.c' 2024-03-06 23:03:38,012 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacosl.c' 2024-03-06 23:03:38,012 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/carg.c' 2024-03-06 23:03:38,013 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cargf.c' 2024-03-06 23:03:38,014 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cargl.c' 2024-03-06 23:03:38,015 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casin.c' 2024-03-06 23:03:38,016 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinf.c' 2024-03-06 23:03:38,017 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinh.c' 2024-03-06 23:03:38,018 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinhf.c' 2024-03-06 23:03:38,019 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinhl.c' 2024-03-06 23:03:38,020 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinl.c' 2024-03-06 23:03:38,021 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catan.c' 2024-03-06 23:03:38,022 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanf.c' 2024-03-06 23:03:38,023 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanh.c' 2024-03-06 23:03:38,024 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanhf.c' 2024-03-06 23:03:38,025 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanhl.c' 2024-03-06 23:03:38,026 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanl.c' 2024-03-06 23:03:38,027 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccos.c' 2024-03-06 23:03:38,028 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccosf.c' 2024-03-06 23:03:38,029 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccosh.c' 2024-03-06 23:03:38,030 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccoshf.c' 2024-03-06 23:03:38,031 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccoshl.c' 2024-03-06 23:03:38,032 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccosl.c' 2024-03-06 23:03:38,033 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.c' 2024-03-06 23:03:38,034 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.h' 2024-03-06 23:03:38,035 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.c' 2024-03-06 23:03:38,036 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.h' 2024-03-06 23:03:38,037 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.c' 2024-03-06 23:03:38,038 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.h' 2024-03-06 23:03:38,039 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cexp.c' 2024-03-06 23:03:38,040 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cexpf.c' 2024-03-06 23:03:38,041 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cexpl.c' 2024-03-06 23:03:38,042 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cimag.c' 2024-03-06 23:03:38,043 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cimagf.c' 2024-03-06 23:03:38,044 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cimagl.c' 2024-03-06 23:03:38,045 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clog.c' 2024-03-06 23:03:38,046 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clog10.c' 2024-03-06 23:03:38,046 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clog10f.c' 2024-03-06 23:03:38,047 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clog10l.c' 2024-03-06 23:03:38,048 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clogf.c' 2024-03-06 23:03:38,049 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clogl.c' 2024-03-06 23:03:38,050 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/complex.tex' 2024-03-06 23:03:38,051 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/conj.c' 2024-03-06 23:03:38,052 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/conjf.c' 2024-03-06 23:03:38,053 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/conjl.c' 2024-03-06 23:03:38,054 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cpow.c' 2024-03-06 23:03:38,055 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cpowf.c' 2024-03-06 23:03:38,056 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cpowl.c' 2024-03-06 23:03:38,057 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cproj.c' 2024-03-06 23:03:38,058 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cprojf.c' 2024-03-06 23:03:38,059 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cprojl.c' 2024-03-06 23:03:38,060 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/creal.c' 2024-03-06 23:03:38,061 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/crealf.c' 2024-03-06 23:03:38,062 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/creall.c' 2024-03-06 23:03:38,063 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csin.c' 2024-03-06 23:03:38,064 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinf.c' 2024-03-06 23:03:38,065 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinh.c' 2024-03-06 23:03:38,066 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinhf.c' 2024-03-06 23:03:38,067 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinhl.c' 2024-03-06 23:03:38,068 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinl.c' 2024-03-06 23:03:38,069 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csqrt.c' 2024-03-06 23:03:38,070 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csqrtf.c' 2024-03-06 23:03:38,071 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csqrtl.c' 2024-03-06 23:03:38,072 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctan.c' 2024-03-06 23:03:38,073 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanf.c' 2024-03-06 23:03:38,074 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanh.c' 2024-03-06 23:03:38,075 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanhf.c' 2024-03-06 23:03:38,076 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanhl.c' 2024-03-06 23:03:38,077 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanl.c' 2024-03-06 23:03:38,078 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/meson.build' 2024-03-06 23:03:38,080 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/CMakeLists.txt' 2024-03-06 23:03:38,081 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fe_dfl_env.c' 2024-03-06 23:03:38,081 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feclearexcept.c' 2024-03-06 23:03:38,082 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fedisableexcept.c' 2024-03-06 23:03:38,083 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feenableexcept.c' 2024-03-06 23:03:38,084 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetenv.c' 2024-03-06 23:03:38,085 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetexcept.c' 2024-03-06 23:03:38,086 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetexceptflag.c' 2024-03-06 23:03:38,087 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetmode.c' 2024-03-06 23:03:38,088 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetround.c' 2024-03-06 23:03:38,089 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feholdexcept.c' 2024-03-06 23:03:38,090 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fenv.tex' 2024-03-06 23:03:38,091 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fenv_stub.c' 2024-03-06 23:03:38,092 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feraiseexcept.c' 2024-03-06 23:03:38,093 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetenv.c' 2024-03-06 23:03:38,094 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetexcept.c' 2024-03-06 23:03:38,095 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetexceptflag.c' 2024-03-06 23:03:38,096 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetmode.c' 2024-03-06 23:03:38,097 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetround.c' 2024-03-06 23:03:38,098 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fetestexcept.c' 2024-03-06 23:03:38,099 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feupdateenv.c' 2024-03-06 23:03:38,100 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/meson.build' 2024-03-06 23:03:38,101 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/CMakeLists.txt' 2024-03-06 23:03:38,103 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/CMakeLists.txt' 2024-03-06 23:03:38,104 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/fenv.c' 2024-03-06 23:03:38,105 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/meson.build' 2024-03-06 23:03:38,106 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_ceil.c' 2024-03-06 23:03:38,106 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fabs.c' 2024-03-06 23:03:38,107 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_floor.c' 2024-03-06 23:03:38,108 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fma.c' 2024-03-06 23:03:38,109 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmax.c' 2024-03-06 23:03:38,110 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmin.c' 2024-03-06 23:03:38,111 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llrint.c' 2024-03-06 23:03:38,112 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llround.c' 2024-03-06 23:03:38,113 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lrint.c' 2024-03-06 23:03:38,114 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lround.c' 2024-03-06 23:03:38,115 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_nearbyint.c' 2024-03-06 23:03:38,116 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_rint.c' 2024-03-06 23:03:38,117 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_round.c' 2024-03-06 23:03:38,118 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_sqrt.c' 2024-03-06 23:03:38,119 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_trunc.c' 2024-03-06 23:03:38,120 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_ceil.c' 2024-03-06 23:03:38,121 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fabs.c' 2024-03-06 23:03:38,122 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_floor.c' 2024-03-06 23:03:38,123 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fma.c' 2024-03-06 23:03:38,124 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmax.c' 2024-03-06 23:03:38,125 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmin.c' 2024-03-06 23:03:38,126 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llrint.c' 2024-03-06 23:03:38,127 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llround.c' 2024-03-06 23:03:38,128 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lrint.c' 2024-03-06 23:03:38,129 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lround.c' 2024-03-06 23:03:38,130 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_nearbyint.c' 2024-03-06 23:03:38,131 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_rint.c' 2024-03-06 23:03:38,132 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_round.c' 2024-03-06 23:03:38,133 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_sqrt.c' 2024-03-06 23:03:38,134 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_trunc.c' 2024-03-06 23:03:38,135 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/CMakeLists.txt' 2024-03-06 23:03:38,136 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/_fenv.h' 2024-03-06 23:03:38,137 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feclearexcept.c' 2024-03-06 23:03:38,138 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fedisableexcept.c' 2024-03-06 23:03:38,139 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feenableexcept.c' 2024-03-06 23:03:38,140 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetenv.c' 2024-03-06 23:03:38,141 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexcept.c' 2024-03-06 23:03:38,142 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexceptflag.c' 2024-03-06 23:03:38,143 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetround.c' 2024-03-06 23:03:38,144 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feholdexcept.c' 2024-03-06 23:03:38,145 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feraiseexcept.c' 2024-03-06 23:03:38,146 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetenv.c' 2024-03-06 23:03:38,147 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetexceptflag.c' 2024-03-06 23:03:38,148 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetround.c' 2024-03-06 23:03:38,149 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fetestexcept.c' 2024-03-06 23:03:38,150 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feupdateenv.c' 2024-03-06 23:03:38,151 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/meson.build' 2024-03-06 23:03:38,151 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_ceil.c' 2024-03-06 23:03:38,152 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fabs.c' 2024-03-06 23:03:38,153 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_floor.c' 2024-03-06 23:03:38,154 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fma_arm.c' 2024-03-06 23:03:38,155 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_nearbyint.c' 2024-03-06 23:03:38,156 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_rint.c' 2024-03-06 23:03:38,157 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_round.c' 2024-03-06 23:03:38,158 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_sqrt.c' 2024-03-06 23:03:38,159 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_trunc.c' 2024-03-06 23:03:38,160 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_ceil.c' 2024-03-06 23:03:38,161 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fabs.c' 2024-03-06 23:03:38,162 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_floor.c' 2024-03-06 23:03:38,163 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fma_arm.c' 2024-03-06 23:03:38,164 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_nearbyint.c' 2024-03-06 23:03:38,165 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_rint.c' 2024-03-06 23:03:38,166 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_round.c' 2024-03-06 23:03:38,167 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_sqrt.c' 2024-03-06 23:03:38,168 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_trunc.c' 2024-03-06 23:03:38,169 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/mips/CMakeLists.txt' 2024-03-06 23:03:38,170 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/mips/fenv.c' 2024-03-06 23:03:38,171 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/mips/meson.build' 2024-03-06 23:03:38,172 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/nds32/w_sqrt.S' 2024-03-06 23:03:38,173 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/nds32/wf_sqrt.S' 2024-03-06 23:03:38,175 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/powerpc/fenv.c' 2024-03-06 23:03:38,176 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/powerpc/meson.build' 2024-03-06 23:03:38,177 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassify.c' 2024-03-06 23:03:38,178 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassifyf.c' 2024-03-06 23:03:38,179 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinite.c' 2024-03-06 23:03:38,180 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinitef.c' 2024-03-06 23:03:38,181 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isinf.c' 2024-03-06 23:03:38,182 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isinff.c' 2024-03-06 23:03:38,183 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isnan.c' 2024-03-06 23:03:38,184 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isnanf.c' 2024-03-06 23:03:38,185 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormal.c' 2024-03-06 23:03:38,185 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormalf.c' 2024-03-06 23:03:38,187 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/CMakeLists.txt' 2024-03-06 23:03:38,188 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/feclearexcept.c' 2024-03-06 23:03:38,189 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetenv.c' 2024-03-06 23:03:38,190 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetexceptflag.c' 2024-03-06 23:03:38,191 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetround.c' 2024-03-06 23:03:38,192 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/feholdexcept.c' 2024-03-06 23:03:38,193 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/feraiseexcept.c' 2024-03-06 23:03:38,194 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetenv.c' 2024-03-06 23:03:38,195 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetexceptflag.c' 2024-03-06 23:03:38,196 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetround.c' 2024-03-06 23:03:38,197 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fetestexcept.c' 2024-03-06 23:03:38,198 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/feupdateenv.c' 2024-03-06 23:03:38,199 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/meson.build' 2024-03-06 23:03:38,200 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_copysign.c' 2024-03-06 23:03:38,201 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fabs.c' 2024-03-06 23:03:38,202 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_finite.c' 2024-03-06 23:03:38,203 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma.c' 2024-03-06 23:03:38,203 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma_riscv.c' 2024-03-06 23:03:38,204 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmax.c' 2024-03-06 23:03:38,205 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmin.c' 2024-03-06 23:03:38,206 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fpclassify.c' 2024-03-06 23:03:38,207 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isinf.c' 2024-03-06 23:03:38,208 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isnan.c' 2024-03-06 23:03:38,209 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llrint.c' 2024-03-06 23:03:38,210 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llround.c' 2024-03-06 23:03:38,211 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lrint.c' 2024-03-06 23:03:38,212 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lround.c' 2024-03-06 23:03:38,213 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_sqrt.c' 2024-03-06 23:03:38,214 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_copysign.c' 2024-03-06 23:03:38,215 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fabs.c' 2024-03-06 23:03:38,216 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_finite.c' 2024-03-06 23:03:38,217 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma.c' 2024-03-06 23:03:38,218 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma_riscv.c' 2024-03-06 23:03:38,219 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmax.c' 2024-03-06 23:03:38,220 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmin.c' 2024-03-06 23:03:38,221 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fpclassify.c' 2024-03-06 23:03:38,222 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isinf.c' 2024-03-06 23:03:38,223 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isnan.c' 2024-03-06 23:03:38,224 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llrint.c' 2024-03-06 23:03:38,225 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llround.c' 2024-03-06 23:03:38,226 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lrint.c' 2024-03-06 23:03:38,227 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lround.c' 2024-03-06 23:03:38,228 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_sqrt.c' 2024-03-06 23:03:38,229 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/sparc/CMakeLists.txt' 2024-03-06 23:03:38,230 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/sparc/fenv.c' 2024-03-06 23:03:38,231 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/sparc/meson.build' 2024-03-06 23:03:38,233 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/llrint.c' 2024-03-06 23:03:38,234 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/llrintf.c' 2024-03-06 23:03:38,235 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/llround.c' 2024-03-06 23:03:38,236 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/llroundf.c' 2024-03-06 23:03:38,237 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/log2.c' 2024-03-06 23:03:38,238 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/log2f.c' 2024-03-06 23:03:38,239 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_asinh.c' 2024-03-06 23:03:38,240 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_atan.c' 2024-03-06 23:03:38,241 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cbrt.c' 2024-03-06 23:03:38,242 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ceil.c' 2024-03-06 23:03:38,243 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_copysign.c' 2024-03-06 23:03:38,244 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cos.c' 2024-03-06 23:03:38,245 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_erf.c' 2024-03-06 23:03:38,246 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_expm1.c' 2024-03-06 23:03:38,247 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fabs.c' 2024-03-06 23:03:38,247 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fdim.c' 2024-03-06 23:03:38,248 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_floor.c' 2024-03-06 23:03:38,249 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fma.c' 2024-03-06 23:03:38,250 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmax.c' 2024-03-06 23:03:38,251 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmin.c' 2024-03-06 23:03:38,252 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_frexp.c' 2024-03-06 23:03:38,253 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ilogb.c' 2024-03-06 23:03:38,254 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_isnan.c' 2024-03-06 23:03:38,255 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ldexp.c' 2024-03-06 23:03:38,256 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_log1p.c' 2024-03-06 23:03:38,257 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lrint.c' 2024-03-06 23:03:38,258 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lround.c' 2024-03-06 23:03:38,259 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nearbyint.c' 2024-03-06 23:03:38,260 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nextafter.c' 2024-03-06 23:03:38,261 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_remquo.c' 2024-03-06 23:03:38,262 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_rint.c' 2024-03-06 23:03:38,263 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_round.c' 2024-03-06 23:03:38,264 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_scalbn.c' 2024-03-06 23:03:38,265 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_sin.c' 2024-03-06 23:03:38,266 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tan.c' 2024-03-06 23:03:38,267 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tanh.c' 2024-03-06 23:03:38,268 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_trunc.c' 2024-03-06 23:03:38,269 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_asinh.c' 2024-03-06 23:03:38,270 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_atan.c' 2024-03-06 23:03:38,271 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cbrt.c' 2024-03-06 23:03:38,272 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ceil.c' 2024-03-06 23:03:38,273 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_copysign.c' 2024-03-06 23:03:38,274 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cos.c' 2024-03-06 23:03:38,275 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_erf.c' 2024-03-06 23:03:38,275 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_expm1.c' 2024-03-06 23:03:38,276 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fabs.c' 2024-03-06 23:03:38,277 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fdim.c' 2024-03-06 23:03:38,278 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_finite.c' 2024-03-06 23:03:38,279 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_floor.c' 2024-03-06 23:03:38,280 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fma.c' 2024-03-06 23:03:38,281 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmax.c' 2024-03-06 23:03:38,282 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmin.c' 2024-03-06 23:03:38,283 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fpclassify.c' 2024-03-06 23:03:38,284 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_frexp.c' 2024-03-06 23:03:38,285 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ilogb.c' 2024-03-06 23:03:38,286 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinf.c' 2024-03-06 23:03:38,287 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinff.c' 2024-03-06 23:03:38,288 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnan.c' 2024-03-06 23:03:38,289 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnanf.c' 2024-03-06 23:03:38,290 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ldexp.c' 2024-03-06 23:03:38,291 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_log1p.c' 2024-03-06 23:03:38,292 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_logb.c' 2024-03-06 23:03:38,293 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lrint.c' 2024-03-06 23:03:38,294 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lround.c' 2024-03-06 23:03:38,295 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nan.c' 2024-03-06 23:03:38,296 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nearbyint.c' 2024-03-06 23:03:38,297 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nextafter.c' 2024-03-06 23:03:38,298 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_remquo.c' 2024-03-06 23:03:38,299 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_rint.c' 2024-03-06 23:03:38,300 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_round.c' 2024-03-06 23:03:38,301 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_scalbn.c' 2024-03-06 23:03:38,302 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_sin.c' 2024-03-06 23:03:38,303 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tan.c' 2024-03-06 23:03:38,304 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tanh.c' 2024-03-06 23:03:38,305 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_trunc.c' 2024-03-06 23:03:38,306 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acos.c' 2024-03-06 23:03:38,307 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acosh.c' 2024-03-06 23:03:38,308 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_asin.c' 2024-03-06 23:03:38,309 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atan2.c' 2024-03-06 23:03:38,310 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atanh.c' 2024-03-06 23:03:38,311 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_cosh.c' 2024-03-06 23:03:38,312 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp.c' 2024-03-06 23:03:38,313 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp2.c' 2024-03-06 23:03:38,314 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_fmod.c' 2024-03-06 23:03:38,315 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_hypot.c' 2024-03-06 23:03:38,316 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_lgamma.c' 2024-03-06 23:03:38,317 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log.c' 2024-03-06 23:03:38,318 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log10.c' 2024-03-06 23:03:38,319 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_pow.c' 2024-03-06 23:03:38,320 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_remainder.c' 2024-03-06 23:03:38,321 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sincos.c' 2024-03-06 23:03:38,322 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sinh.c' 2024-03-06 23:03:38,323 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sqrt.c' 2024-03-06 23:03:38,324 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_tgamma.c' 2024-03-06 23:03:38,325 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acos.c' 2024-03-06 23:03:38,326 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acosh.c' 2024-03-06 23:03:38,327 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_asin.c' 2024-03-06 23:03:38,328 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atan2.c' 2024-03-06 23:03:38,329 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atanh.c' 2024-03-06 23:03:38,330 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_cosh.c' 2024-03-06 23:03:38,331 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp.c' 2024-03-06 23:03:38,332 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp2.c' 2024-03-06 23:03:38,333 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_fmod.c' 2024-03-06 23:03:38,334 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_hypot.c' 2024-03-06 23:03:38,335 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_lgamma.c' 2024-03-06 23:03:38,336 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log.c' 2024-03-06 23:03:38,337 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log10.c' 2024-03-06 23:03:38,338 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_pow.c' 2024-03-06 23:03:38,338 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_remainder.c' 2024-03-06 23:03:38,339 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sincos.c' 2024-03-06 23:03:38,341 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sinh.c' 2024-03-06 23:03:38,341 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sqrt.c' 2024-03-06 23:03:38,342 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_tgamma.c' 2024-03-06 23:03:38,345 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acos.h' 2024-03-06 23:03:38,346 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosd2.h' 2024-03-06 23:03:38,347 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf.h' 2024-03-06 23:03:38,348 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf4.h' 2024-03-06 23:03:38,349 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosh.h' 2024-03-06 23:03:38,350 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshd2.h' 2024-03-06 23:03:38,351 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf.h' 2024-03-06 23:03:38,352 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf4.h' 2024-03-06 23:03:38,353 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asin.h' 2024-03-06 23:03:38,354 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asind2.h' 2024-03-06 23:03:38,355 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf.h' 2024-03-06 23:03:38,356 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf4.h' 2024-03-06 23:03:38,357 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinh.h' 2024-03-06 23:03:38,358 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhd2.h' 2024-03-06 23:03:38,360 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf.h' 2024-03-06 23:03:38,361 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf4.h' 2024-03-06 23:03:38,362 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan.h' 2024-03-06 23:03:38,363 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2.h' 2024-03-06 23:03:38,364 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2d2.h' 2024-03-06 23:03:38,365 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f.h' 2024-03-06 23:03:38,366 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f4.h' 2024-03-06 23:03:38,367 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atand2.h' 2024-03-06 23:03:38,368 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf.h' 2024-03-06 23:03:38,369 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf4.h' 2024-03-06 23:03:38,370 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanh.h' 2024-03-06 23:03:38,371 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhd2.h' 2024-03-06 23:03:38,372 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf.h' 2024-03-06 23:03:38,373 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf4.h' 2024-03-06 23:03:38,374 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrt.h' 2024-03-06 23:03:38,375 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrtf.h' 2024-03-06 23:03:38,376 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceil.h' 2024-03-06 23:03:38,377 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceilf.h' 2024-03-06 23:03:38,378 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysign.h' 2024-03-06 23:03:38,379 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysignf.h' 2024-03-06 23:03:38,380 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos.h' 2024-03-06 23:03:38,381 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos_sin.h' 2024-03-06 23:03:38,382 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosd2.h' 2024-03-06 23:03:38,383 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf.h' 2024-03-06 23:03:38,384 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf4.h' 2024-03-06 23:03:38,385 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosh.h' 2024-03-06 23:03:38,386 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshd2.h' 2024-03-06 23:03:38,387 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf.h' 2024-03-06 23:03:38,388 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf4.h' 2024-03-06 23:03:38,389 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divd2.h' 2024-03-06 23:03:38,390 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divf4.h' 2024-03-06 23:03:38,392 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_less_than.h' 2024-03-06 23:03:38,392 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_negone_one.h' 2024-03-06 23:03:38,394 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_less_than.h' 2024-03-06 23:03:38,395 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_negone_one.h' 2024-03-06 23:03:38,396 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf.h' 2024-03-06 23:03:38,397 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf_utils.h' 2024-03-06 23:03:38,398 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfc.h' 2024-03-06 23:03:38,399 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcd2.h' 2024-03-06 23:03:38,400 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf.h' 2024-03-06 23:03:38,401 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf4.h' 2024-03-06 23:03:38,402 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfd2.h' 2024-03-06 23:03:38,403 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff.h' 2024-03-06 23:03:38,404 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff4.h' 2024-03-06 23:03:38,406 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp.h' 2024-03-06 23:03:38,407 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2.h' 2024-03-06 23:03:38,408 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2d2.h' 2024-03-06 23:03:38,409 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f.h' 2024-03-06 23:03:38,410 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f4.h' 2024-03-06 23:03:38,411 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expd2.h' 2024-03-06 23:03:38,412 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf.h' 2024-03-06 23:03:38,413 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf4.h' 2024-03-06 23:03:38,414 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1.h' 2024-03-06 23:03:38,415 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1d2.h' 2024-03-06 23:03:38,416 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f.h' 2024-03-06 23:03:38,417 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f4.h' 2024-03-06 23:03:38,418 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabs.h' 2024-03-06 23:03:38,419 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabsf.h' 2024-03-06 23:03:38,420 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdim.h' 2024-03-06 23:03:38,421 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdimf.h' 2024-03-06 23:03:38,422 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feclearexcept.h' 2024-03-06 23:03:38,423 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fefpscr.h' 2024-03-06 23:03:38,424 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetenv.h' 2024-03-06 23:03:38,425 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetexceptflag.h' 2024-03-06 23:03:38,426 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetround.h' 2024-03-06 23:03:38,427 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feholdexcept.h' 2024-03-06 23:03:38,428 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feraiseexcept.h' 2024-03-06 23:03:38,429 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetenv.h' 2024-03-06 23:03:38,430 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetexceptflag.h' 2024-03-06 23:03:38,431 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetround.h' 2024-03-06 23:03:38,432 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fetestexcept.h' 2024-03-06 23:03:38,433 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feupdateenv.h' 2024-03-06 23:03:38,434 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floor.h' 2024-03-06 23:03:38,435 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floord2.h' 2024-03-06 23:03:38,436 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf.h' 2024-03-06 23:03:38,437 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf4.h' 2024-03-06 23:03:38,438 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fma.h' 2024-03-06 23:03:38,439 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaf.h' 2024-03-06 23:03:38,440 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmax.h' 2024-03-06 23:03:38,441 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaxf.h' 2024-03-06 23:03:38,442 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmin.h' 2024-03-06 23:03:38,443 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fminf.h' 2024-03-06 23:03:38,444 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmod.h' 2024-03-06 23:03:38,445 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmodf.h' 2024-03-06 23:03:38,446 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexp.h' 2024-03-06 23:03:38,447 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexpf.h' 2024-03-06 23:03:38,448 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypot.h' 2024-03-06 23:03:38,449 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotd2.h' 2024-03-06 23:03:38,450 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf.h' 2024-03-06 23:03:38,451 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf4.h' 2024-03-06 23:03:38,452 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogb.h' 2024-03-06 23:03:38,453 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogbf.h' 2024-03-06 23:03:38,454 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnan.h' 2024-03-06 23:03:38,455 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnand2.h' 2024-03-06 23:03:38,456 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf.h' 2024-03-06 23:03:38,457 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf4.h' 2024-03-06 23:03:38,458 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexp.h' 2024-03-06 23:03:38,459 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpd2.h' 2024-03-06 23:03:38,460 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf.h' 2024-03-06 23:03:38,461 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf4.h' 2024-03-06 23:03:38,462 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgamma.h' 2024-03-06 23:03:38,463 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammad2.h' 2024-03-06 23:03:38,464 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf.h' 2024-03-06 23:03:38,465 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf4.h' 2024-03-06 23:03:38,467 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrint.h' 2024-03-06 23:03:38,468 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrintf.h' 2024-03-06 23:03:38,469 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llround.h' 2024-03-06 23:03:38,470 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llroundf.h' 2024-03-06 23:03:38,471 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log.h' 2024-03-06 23:03:38,472 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10.h' 2024-03-06 23:03:38,473 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10d2.h' 2024-03-06 23:03:38,474 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10f.h' 2024-03-06 23:03:38,475 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1p.h' 2024-03-06 23:03:38,476 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pd2.h' 2024-03-06 23:03:38,477 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf.h' 2024-03-06 23:03:38,478 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf4.h' 2024-03-06 23:03:38,479 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2.h' 2024-03-06 23:03:38,480 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2d2.h' 2024-03-06 23:03:38,481 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f.h' 2024-03-06 23:03:38,482 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f4.h' 2024-03-06 23:03:38,483 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf.h' 2024-03-06 23:03:38,484 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf4.h' 2024-03-06 23:03:38,485 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logd2.h' 2024-03-06 23:03:38,486 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf.h' 2024-03-06 23:03:38,487 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf4.h' 2024-03-06 23:03:38,488 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrint.h' 2024-03-06 23:03:38,489 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrintf.h' 2024-03-06 23:03:38,490 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lround.h' 2024-03-06 23:03:38,491 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lroundf.h' 2024-03-06 23:03:38,492 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyint.h' 2024-03-06 23:03:38,493 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf.h' 2024-03-06 23:03:38,494 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf4.h' 2024-03-06 23:03:38,495 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafter.h' 2024-03-06 23:03:38,496 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterd2.h' 2024-03-06 23:03:38,497 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf.h' 2024-03-06 23:03:38,498 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf4.h' 2024-03-06 23:03:38,499 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/pow.h' 2024-03-06 23:03:38,500 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powd2.h' 2024-03-06 23:03:38,501 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf.h' 2024-03-06 23:03:38,502 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf4.h' 2024-03-06 23:03:38,503 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipd2.h' 2024-03-06 23:03:38,504 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipf4.h' 2024-03-06 23:03:38,505 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainder.h' 2024-03-06 23:03:38,506 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainderf.h' 2024-03-06 23:03:38,507 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquo.h' 2024-03-06 23:03:38,508 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquof.h' 2024-03-06 23:03:38,509 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rint.h' 2024-03-06 23:03:38,510 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf.h' 2024-03-06 23:03:38,511 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf4.h' 2024-03-06 23:03:38,512 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/round.h' 2024-03-06 23:03:38,513 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/roundf.h' 2024-03-06 23:03:38,514 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbn.h' 2024-03-06 23:03:38,515 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf.h' 2024-03-06 23:03:38,516 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf4.h' 2024-03-06 23:03:38,517 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbit.h' 2024-03-06 23:03:38,518 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbitd2.h' 2024-03-06 23:03:38,519 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/simdmath.h' 2024-03-06 23:03:38,521 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sin.h' 2024-03-06 23:03:38,522 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincos.h' 2024-03-06 23:03:38,523 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosd2.h' 2024-03-06 23:03:38,524 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf.h' 2024-03-06 23:03:38,525 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf4.h' 2024-03-06 23:03:38,526 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sind2.h' 2024-03-06 23:03:38,527 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf.h' 2024-03-06 23:03:38,528 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf4.h' 2024-03-06 23:03:38,529 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinh.h' 2024-03-06 23:03:38,530 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhd2.h' 2024-03-06 23:03:38,531 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf.h' 2024-03-06 23:03:38,532 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf4.h' 2024-03-06 23:03:38,533 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrt.h' 2024-03-06 23:03:38,534 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtd2.h' 2024-03-06 23:03:38,535 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf.h' 2024-03-06 23:03:38,536 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf4.h' 2024-03-06 23:03:38,538 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tan.h' 2024-03-06 23:03:38,539 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tand2.h' 2024-03-06 23:03:38,540 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf.h' 2024-03-06 23:03:38,541 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf4.h' 2024-03-06 23:03:38,542 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanh.h' 2024-03-06 23:03:38,543 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhd2.h' 2024-03-06 23:03:38,544 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf.h' 2024-03-06 23:03:38,545 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf4.h' 2024-03-06 23:03:38,546 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgamma.h' 2024-03-06 23:03:38,547 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammad2.h' 2024-03-06 23:03:38,548 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf.h' 2024-03-06 23:03:38,549 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf4.h' 2024-03-06 23:03:38,550 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/trunc.h' 2024-03-06 23:03:38,551 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncd2.h' 2024-03-06 23:03:38,552 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf.h' 2024-03-06 23:03:38,553 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf4.h' 2024-03-06 23:03:38,554 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/vec_literal.h' 2024-03-06 23:03:38,556 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/CMakeLists.txt' 2024-03-06 23:03:38,557 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2.S' 2024-03-06 23:03:38,557 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2f.S' 2024-03-06 23:03:38,558 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_exp.c' 2024-03-06 23:03:38,559 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_expf.c' 2024-03-06 23:03:38,560 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexp.S' 2024-03-06 23:03:38,561 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexpf.S' 2024-03-06 23:03:38,562 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexp.S' 2024-03-06 23:03:38,563 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexpf.S' 2024-03-06 23:03:38,564 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrint.c' 2024-03-06 23:03:38,565 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintf.c' 2024-03-06 23:03:38,566 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintl.c' 2024-03-06 23:03:38,567 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log.S' 2024-03-06 23:03:38,568 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10.S' 2024-03-06 23:03:38,569 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10f.S' 2024-03-06 23:03:38,570 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_logf.S' 2024-03-06 23:03:38,571 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrint.c' 2024-03-06 23:03:38,572 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintf.c' 2024-03-06 23:03:38,573 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintl.c' 2024-03-06 23:03:38,574 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_math.h' 2024-03-06 23:03:38,575 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_pow.c' 2024-03-06 23:03:38,576 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_powf.c' 2024-03-06 23:03:38,577 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rint.c' 2024-03-06 23:03:38,578 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintf.c' 2024-03-06 23:03:38,579 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintl.c' 2024-03-06 23:03:38,580 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tan.S' 2024-03-06 23:03:38,581 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tanf.S' 2024-03-06 23:03:38,582 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/fenv.c' 2024-03-06 23:03:38,583 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/i386mach.h' 2024-03-06 23:03:38,584 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/meson.build' 2024-03-06 23:03:38,585 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/CMakeLists.txt' 2024-03-06 23:03:38,586 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feclearexcept.c' 2024-03-06 23:03:38,587 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fedisableexcept.c' 2024-03-06 23:03:38,588 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feenableexcept.c' 2024-03-06 23:03:38,589 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetenv.c' 2024-03-06 23:03:38,590 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexcept.c' 2024-03-06 23:03:38,591 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexceptflag.c' 2024-03-06 23:03:38,592 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetround.c' 2024-03-06 23:03:38,593 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feholdexcept.c' 2024-03-06 23:03:38,594 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feraiseexcept.c' 2024-03-06 23:03:38,595 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetenv.c' 2024-03-06 23:03:38,596 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetexceptflag.c' 2024-03-06 23:03:38,597 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetround.c' 2024-03-06 23:03:38,598 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fetestexcept.c' 2024-03-06 23:03:38,599 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feupdateenv.c' 2024-03-06 23:03:38,600 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/meson.build' 2024-03-06 23:03:38,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/CMakeLists.txt' 2024-03-06 23:03:38,603 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/k_cos.c' 2024-03-06 23:03:38,604 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/k_rem_pio2.c' 2024-03-06 23:03:38,605 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/k_sin.c' 2024-03-06 23:03:38,606 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/k_tan.c' 2024-03-06 23:03:38,607 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/kf_cos.c' 2024-03-06 23:03:38,608 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/kf_rem_pio2.c' 2024-03-06 23:03:38,609 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/kf_sin.c' 2024-03-06 23:03:38,610 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/kf_tan.c' 2024-03-06 23:03:38,611 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/math.tex' 2024-03-06 23:03:38,612 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/meson.build' 2024-03-06 23:03:38,613 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_acos.c' 2024-03-06 23:03:38,614 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_acosh.c' 2024-03-06 23:03:38,615 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_asin.c' 2024-03-06 23:03:38,616 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_asinh.c' 2024-03-06 23:03:38,617 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_atan.c' 2024-03-06 23:03:38,618 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_atan2.c' 2024-03-06 23:03:38,619 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_atanh.c' 2024-03-06 23:03:38,620 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_ceil.c' 2024-03-06 23:03:38,621 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_cos.c' 2024-03-06 23:03:38,622 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_cosh.c' 2024-03-06 23:03:38,623 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_drem.c' 2024-03-06 23:03:38,624 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_erf.c' 2024-03-06 23:03:38,625 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_exp.c' 2024-03-06 23:03:38,626 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_exp2.c' 2024-03-06 23:03:38,627 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_fabs.c' 2024-03-06 23:03:38,628 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_floor.c' 2024-03-06 23:03:38,629 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_fmod.c' 2024-03-06 23:03:38,630 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_frexp.c' 2024-03-06 23:03:38,631 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_gamma.c' 2024-03-06 23:03:38,632 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_hypot.c' 2024-03-06 23:03:38,633 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_j0.c' 2024-03-06 23:03:38,634 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_j1.c' 2024-03-06 23:03:38,636 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_jn.c' 2024-03-06 23:03:38,637 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_lgamma.c' 2024-03-06 23:03:38,638 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_log.c' 2024-03-06 23:03:38,639 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_log10.c' 2024-03-06 23:03:38,640 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_pow.c' 2024-03-06 23:03:38,641 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_rem_pio2.c' 2024-03-06 23:03:38,642 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_remainder.c' 2024-03-06 23:03:38,643 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_scalb.c' 2024-03-06 23:03:38,644 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_signif.c' 2024-03-06 23:03:38,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_sin.c' 2024-03-06 23:03:38,646 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_sincos.c' 2024-03-06 23:03:38,647 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_sinh.c' 2024-03-06 23:03:38,648 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_sqrt.c' 2024-03-06 23:03:38,649 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_tan.c' 2024-03-06 23:03:38,650 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_tanh.c' 2024-03-06 23:03:38,651 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_tgamma.c' 2024-03-06 23:03:38,652 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_acos.c' 2024-03-06 23:03:38,653 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_acosh.c' 2024-03-06 23:03:38,654 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_asin.c' 2024-03-06 23:03:38,655 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_asinh.c' 2024-03-06 23:03:38,656 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_atan.c' 2024-03-06 23:03:38,657 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_atan2.c' 2024-03-06 23:03:38,658 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_atanh.c' 2024-03-06 23:03:38,658 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_ceil.c' 2024-03-06 23:03:38,659 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_cos.c' 2024-03-06 23:03:38,660 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_cosh.c' 2024-03-06 23:03:38,661 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_drem.c' 2024-03-06 23:03:38,662 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_erf.c' 2024-03-06 23:03:38,664 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_exp.c' 2024-03-06 23:03:38,664 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_exp2.c' 2024-03-06 23:03:38,665 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_fabs.c' 2024-03-06 23:03:38,666 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_floor.c' 2024-03-06 23:03:38,667 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_fmod.c' 2024-03-06 23:03:38,668 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_frexp.c' 2024-03-06 23:03:38,669 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_gamma.c' 2024-03-06 23:03:38,670 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_hypot.c' 2024-03-06 23:03:38,671 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_j0.c' 2024-03-06 23:03:38,673 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_j1.c' 2024-03-06 23:03:38,674 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_jn.c' 2024-03-06 23:03:38,675 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_lgamma.c' 2024-03-06 23:03:38,676 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_log.c' 2024-03-06 23:03:38,677 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_log10.c' 2024-03-06 23:03:38,678 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_log2.c' 2024-03-06 23:03:38,679 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_pow.c' 2024-03-06 23:03:38,680 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_rem_pio2.c' 2024-03-06 23:03:38,681 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_remainder.c' 2024-03-06 23:03:38,682 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_scalb.c' 2024-03-06 23:03:38,683 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_signif.c' 2024-03-06 23:03:38,684 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_sin.c' 2024-03-06 23:03:38,685 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_sincos.c' 2024-03-06 23:03:38,686 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_sinh.c' 2024-03-06 23:03:38,687 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_sqrt.c' 2024-03-06 23:03:38,688 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_tan.c' 2024-03-06 23:03:38,689 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_tanh.c' 2024-03-06 23:03:38,690 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_tgamma.c' 2024-03-06 23:03:38,691 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sl_hypot.c' 2024-03-06 23:03:38,692 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sr_lgamma.c' 2024-03-06 23:03:38,693 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/srf_lgamma.c' 2024-03-06 23:03:38,695 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/acos_vec.c' 2024-03-06 23:03:38,696 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/acosf_vec.c' 2024-03-06 23:03:38,698 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/acosh_vec.c' 2024-03-06 23:03:38,699 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/acoshf_vec.c' 2024-03-06 23:03:38,700 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/asin_vec.c' 2024-03-06 23:03:38,702 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/asinf_vec.c' 2024-03-06 23:03:38,703 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/asinh_vec.c' 2024-03-06 23:03:38,705 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/asinhf_vec.c' 2024-03-06 23:03:38,707 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atan2_vec.c' 2024-03-06 23:03:38,711 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atan2f_vec.c' 2024-03-06 23:03:38,715 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atan_vec.c' 2024-03-06 23:03:38,716 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atanf_vec.c' 2024-03-06 23:03:38,717 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atanh_vec.c' 2024-03-06 23:03:38,719 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atanhf_vec.c' 2024-03-06 23:03:38,720 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/ceil_vec.c' 2024-03-06 23:03:38,722 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/ceilf_vec.c' 2024-03-06 23:03:38,723 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/conv_vec.c' 2024-03-06 23:03:38,727 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/convert.c' 2024-03-06 23:03:38,728 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/copysign_vec.c' 2024-03-06 23:03:38,729 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/copysignf_vec.c' 2024-03-06 23:03:38,730 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/cos_vec.c' 2024-03-06 23:03:38,732 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/cosf_vec.c' 2024-03-06 23:03:38,733 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/cosh_vec.c' 2024-03-06 23:03:38,735 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/coshf_vec.c' 2024-03-06 23:03:38,736 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/dcvt.c' 2024-03-06 23:03:38,737 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/dvec.c' 2024-03-06 23:03:38,739 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/erf_vec.c' 2024-03-06 23:03:38,740 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/erfc_vec.c' 2024-03-06 23:03:38,742 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/erfcf_vec.c' 2024-03-06 23:03:38,743 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/erff_vec.c' 2024-03-06 23:03:38,744 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/exp_vec.c' 2024-03-06 23:03:38,746 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/expf_vec.c' 2024-03-06 23:03:38,747 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/fabs_vec.c' 2024-03-06 23:03:38,749 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/fabsf_vec.c' 2024-03-06 23:03:38,750 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/floor_vec.c' 2024-03-06 23:03:38,751 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/floorf_vec.c' 2024-03-06 23:03:38,753 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/fmod_vec.c' 2024-03-06 23:03:38,754 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/fmodf_vec.c' 2024-03-06 23:03:38,756 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/gamma_vec.c' 2024-03-06 23:03:38,757 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/gammaf_vec.c' 2024-03-06 23:03:38,759 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/hypot_vec.c' 2024-03-06 23:03:38,760 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/hypotf_vec.c' 2024-03-06 23:03:38,762 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/iconv_vec.c' 2024-03-06 23:03:38,765 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/issignaling_vec.c' 2024-03-06 23:03:38,766 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/j0_vec.c' 2024-03-06 23:03:38,768 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/j0f_vec.c' 2024-03-06 23:03:38,769 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/j1_vec.c' 2024-03-06 23:03:38,770 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/j1f_vec.c' 2024-03-06 23:03:38,772 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/jn_vec.c' 2024-03-06 23:03:38,773 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/jnf_vec.c' 2024-03-06 23:03:38,775 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log10_vec.c' 2024-03-06 23:03:38,776 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log10f_vec.c' 2024-03-06 23:03:38,778 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log1p_vec.c' 2024-03-06 23:03:38,779 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log1pf_vec.c' 2024-03-06 23:03:38,780 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log2_vec.c' 2024-03-06 23:03:38,782 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log2f_vec.c' 2024-03-06 23:03:38,784 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log_vec.c' 2024-03-06 23:03:38,785 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/logf_vec.c' 2024-03-06 23:03:38,786 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/math.c' 2024-03-06 23:03:38,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/math2.c' 2024-03-06 23:03:38,789 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/meson.build' 2024-03-06 23:03:38,790 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/modf_vec.c' 2024-03-06 23:03:38,791 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/modff_vec.c' 2024-03-06 23:03:38,792 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/pow_vec.c' 2024-03-06 23:03:38,793 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/powf_vec.c' 2024-03-06 23:03:38,794 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/scalb_vec.c' 2024-03-06 23:03:38,795 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/scalbn_vec.c' 2024-03-06 23:03:38,796 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sin_vec.c' 2024-03-06 23:03:38,797 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sinf_vec.c' 2024-03-06 23:03:38,799 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sinh_vec.c' 2024-03-06 23:03:38,800 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sinhf_vec.c' 2024-03-06 23:03:38,802 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sprint_ivec.c' 2024-03-06 23:03:38,807 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sprint_vec.c' 2024-03-06 23:03:38,811 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sqrt_vec.c' 2024-03-06 23:03:38,813 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sqrtf_vec.c' 2024-03-06 23:03:38,814 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/string.c' 2024-03-06 23:03:38,815 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/tan_vec.c' 2024-03-06 23:03:38,817 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/tanf_vec.c' 2024-03-06 23:03:38,819 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/tanh_vec.c' 2024-03-06 23:03:38,820 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/tanhf_vec.c' 2024-03-06 23:03:38,822 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/test.c' 2024-03-06 23:03:38,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/test.h' 2024-03-06 23:03:38,824 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/test_ieee.c' 2024-03-06 23:03:38,825 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/test_is.c' 2024-03-06 23:03:38,827 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/trunc_vec.c' 2024-03-06 23:03:38,828 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/truncf_vec.c' 2024-03-06 23:03:38,830 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/y0_vec.c' 2024-03-06 23:03:38,831 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/y0f_vec.c' 2024-03-06 23:03:38,832 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/y1_vec.c' 2024-03-06 23:03:38,834 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/y1f_vec.c' 2024-03-06 23:03:38,835 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/yn_vec.c' 2024-03-06 23:03:38,836 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/ynf_vec.c' 2024-03-06 23:03:38,838 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/meson.build' 2024-03-06 23:03:38,839 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/stdio-bits.c' 2024-03-06 23:03:38,840 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/config/default.exp' 2024-03-06 23:03:38,841 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/include/check.h' 2024-03-06 23:03:38,842 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/lib/checkoutput.exp' 2024-03-06 23:03:38,843 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/lib/flags.exp' 2024-03-06 23:03:38,844 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/lib/newlib.exp' 2024-03-06 23:03:38,845 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/lib/passfail.exp' 2024-03-06 23:03:38,846 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/elix.exp' 2024-03-06 23:03:38,847 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/tmmap.c' 2024-03-06 23:03:38,848 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconv.exp' 2024-03-06 23:03:38,849 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvjp.c' 2024-03-06 23:03:38,851 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvnm.c' 2024-03-06 23:03:38,852 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvru.c' 2024-03-06 23:03:38,853 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/meson.build' 2024-03-06 23:03:38,854 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.c' 2024-03-06 23:03:38,856 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.exp' 2024-03-06 23:03:38,857 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/locale.exp' 2024-03-06 23:03:38,857 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/meson.build' 2024-03-06 23:03:38,859 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.c' 2024-03-06 23:03:38,860 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.exp' 2024-03-06 23:03:38,861 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.search/meson.build' 2024-03-06 23:03:38,862 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/meson.build' 2024-03-06 23:03:38,863 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/nulprintf.c' 2024-03-06 23:03:38,864 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/stdio.exp' 2024-03-06 23:03:38,865 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/swprintf.c' 2024-03-06 23:03:38,866 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.c' 2024-03-06 23:03:38,867 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.exp' 2024-03-06 23:03:38,868 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/meson.build' 2024-03-06 23:03:38,869 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/size_max.c' 2024-03-06 23:03:38,869 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/stdlib.exp' 2024-03-06 23:03:38,871 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memcpy-1.c' 2024-03-06 23:03:38,872 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memmove1.c' 2024-03-06 23:03:38,873 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/meson.build' 2024-03-06 23:03:38,874 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/strcmp-1.c' 2024-03-06 23:03:38,875 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/string.exp' 2024-03-06 23:03:38,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/tstring.c' 2024-03-06 23:03:38,877 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.time/meson.build' 2024-03-06 23:03:38,878 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.time/time.exp' 2024-03-06 23:03:38,879 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.time/tzset.c' 2024-03-06 23:03:38,880 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/meson.build' 2024-03-06 23:03:38,881 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/tiswctype.c' 2024-03-06 23:03:38,882 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctrans.c' 2024-03-06 23:03:38,883 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctype.c' 2024-03-06 23:03:38,884 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/wctype.exp' 2024-03-06 23:03:38,885 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/CMakeLists.txt' 2024-03-06 23:03:38,886 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/crt0.h' 2024-03-06 23:03:38,887 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/meson.build' 2024-03-06 23:03:38,888 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/aarch64/crt0.c' 2024-03-06 23:03:38,889 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/aarch64/meson.build' 2024-03-06 23:03:38,890 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/arm/CMakeLists.txt' 2024-03-06 23:03:38,891 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/arm/crt0.c' 2024-03-06 23:03:38,892 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/arm/meson.build' 2024-03-06 23:03:38,894 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/riscv/crt0.c' 2024-03-06 23:03:38,895 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/riscv/meson.build' 2024-03-06 23:03:38,896 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/x86/crt0-32.S' 2024-03-06 23:03:38,897 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/x86/crt0-64.S' 2024-03-06 23:03:38,898 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/x86/crt0.S' 2024-03-06 23:03:38,899 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/x86/meson.build' 2024-03-06 23:03:38,900 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/shared/crt0.c' 2024-03-06 23:03:38,902 wheel INFO adding 'pythondata_software_picolibc/data/scripts/GeneratePicolibcCrossFile.sh' 2024-03-06 23:03:38,903 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-aarch64-linux-gnu.txt' 2024-03-06 23:03:38,904 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-aarch64-zephyr-elf.txt' 2024-03-06 23:03:38,905 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-arc-zephyr-elf.txt' 2024-03-06 23:03:38,906 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-arc64-zephyr-elf.txt' 2024-03-06 23:03:38,906 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-arm-none-eabi.txt' 2024-03-06 23:03:38,907 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-arm-zephyr-eabi.txt' 2024-03-06 23:03:38,908 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-msp430.txt' 2024-03-06 23:03:38,909 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-old-riscv64-unknown-elf.txt' 2024-03-06 23:03:38,910 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-old-rv32imafdc-unknown-elf.txt' 2024-03-06 23:03:38,911 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-riscv64-unknown-elf.txt' 2024-03-06 23:03:38,912 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-rv32imafdc-unknown-elf.txt' 2024-03-06 23:03:38,913 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-thumbv6m-none-eabi.txt' 2024-03-06 23:03:38,914 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-thumbv7e+fp-none-eabi.txt' 2024-03-06 23:03:38,915 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-thumbv7m-none-eabi.txt' 2024-03-06 23:03:38,916 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-cortex-a9-none-eabi.txt' 2024-03-06 23:03:38,917 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-i686-linux-gnu.txt' 2024-03-06 23:03:38,918 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-m68k-linux-gnu.txt' 2024-03-06 23:03:38,918 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-mips-linux-gnu.txt' 2024-03-06 23:03:38,919 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-mipsel-linux-gnu.txt' 2024-03-06 23:03:38,920 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-msp430.txt' 2024-03-06 23:03:38,921 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-nios2-zephyr-elf.txt' 2024-03-06 23:03:38,922 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-old-clang-riscv64-unknown-elf.txt' 2024-03-06 23:03:38,923 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-powerpc64-linux-gnu.txt' 2024-03-06 23:03:38,924 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-powerpc64le-linux-gnu.txt' 2024-03-06 23:03:38,925 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-riscv64-unknown-elf.txt' 2024-03-06 23:03:38,926 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-riscv64-zephyr-elf.txt' 2024-03-06 23:03:38,927 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-rv32imac.txt' 2024-03-06 23:03:38,928 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-rv32imac_zicsr.txt' 2024-03-06 23:03:38,929 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-sparc64-linux-gnu.txt' 2024-03-06 23:03:38,930 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-x86-linux-gnu.txt' 2024-03-06 23:03:38,931 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-x86_64-linux-gnu.txt' 2024-03-06 23:03:38,932 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-esp32-elf.txt' 2024-03-06 23:03:38,933 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32_zephyr-elf.txt' 2024-03-06 23:03:38,933 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr-elf.txt' 2024-03-06 23:03:38,934 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr_elf.txt' 2024-03-06 23:03:38,935 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr-elf.txt' 2024-03-06 23:03:38,936 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr_elf.txt' 2024-03-06 23:03:38,937 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr-elf.txt' 2024-03-06 23:03:38,938 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr_elf.txt' 2024-03-06 23:03:38,939 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr-elf.txt' 2024-03-06 23:03:38,940 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr_elf.txt' 2024-03-06 23:03:38,941 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr-elf.txt' 2024-03-06 23:03:38,942 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr_elf.txt' 2024-03-06 23:03:38,943 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-lx106-elf.txt' 2024-03-06 23:03:38,944 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr-elf.txt' 2024-03-06 23:03:38,945 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr_elf.txt' 2024-03-06 23:03:38,945 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr-elf.txt' 2024-03-06 23:03:38,946 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr_elf.txt' 2024-03-06 23:03:38,947 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr-elf.txt' 2024-03-06 23:03:38,948 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr_elf.txt' 2024-03-06 23:03:38,949 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-aarch64-configure' 2024-03-06 23:03:38,950 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-aarch64-zephyr-elf-configure' 2024-03-06 23:03:38,951 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-arc-configure' 2024-03-06 23:03:38,952 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-arc64-configure' 2024-03-06 23:03:38,953 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-arm-configure' 2024-03-06 23:03:38,954 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-arm-configure' 2024-03-06 23:03:38,955 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-msp430-configure' 2024-03-06 23:03:38,956 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-riscv-configure' 2024-03-06 23:03:38,957 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-rv32imafdc-configure' 2024-03-06 23:03:38,958 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-thumbv6m-configure' 2024-03-06 23:03:38,958 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-thumbv7e+fp-configure' 2024-03-06 23:03:38,959 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-thumbv7m-configure' 2024-03-06 23:03:38,960 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-cmake-thumbv7m-configure' 2024-03-06 23:03:38,961 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-configure' 2024-03-06 23:03:38,962 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-cortex-a9-configure' 2024-03-06 23:03:38,963 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-esp32-configure' 2024-03-06 23:03:38,964 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-freedom-tools-configure' 2024-03-06 23:03:38,965 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-freedom-tools-package' 2024-03-06 23:03:38,966 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-i386-configure' 2024-03-06 23:03:38,967 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-lx106-configure' 2024-03-06 23:03:38,968 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-m68k-configure' 2024-03-06 23:03:38,969 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-mips-configure' 2024-03-06 23:03:38,970 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-mipsel-configure' 2024-03-06 23:03:38,971 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-msp430-configure' 2024-03-06 23:03:38,972 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-native-configure' 2024-03-06 23:03:38,973 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-nios2-configure' 2024-03-06 23:03:38,974 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-powerpc64-configure' 2024-03-06 23:03:38,975 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-powerpc64le-configure' 2024-03-06 23:03:38,976 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-riscv-configure' 2024-03-06 23:03:38,976 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-rv32imac-configure' 2024-03-06 23:03:38,977 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-sparc64-configure' 2024-03-06 23:03:38,978 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-x86-configure' 2024-03-06 23:03:38,979 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-x86_64-configure' 2024-03-06 23:03:38,980 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32-configure' 2024-03-06 23:03:38,981 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32s2-configure' 2024-03-06 23:03:38,982 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-intel_apl_adsp-configure' 2024-03-06 23:03:38,983 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-intel_bdw_adsp-configure' 2024-03-06 23:03:38,984 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-intel_byt_adsp-configure' 2024-03-06 23:03:38,985 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-intel_s1000-configure' 2024-03-06 23:03:38,986 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx8m_adsp-configure' 2024-03-06 23:03:38,987 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx_adsp-configure' 2024-03-06 23:03:38,988 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-sample_controller-configure' 2024-03-06 23:03:38,989 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-zephyr-aarch64-configure' 2024-03-06 23:03:38,990 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-zephyr-arm-configure' 2024-03-06 23:03:38,991 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-zephyr-riscv-configure' 2024-03-06 23:03:38,991 wheel INFO adding 'pythondata_software_picolibc/data/scripts/duplicate-names' 2024-03-06 23:03:38,992 wheel INFO adding 'pythondata_software_picolibc/data/scripts/monitor-e9' 2024-03-06 23:03:38,993 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-aarch64' 2024-03-06 23:03:38,994 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-arm' 2024-03-06 23:03:38,995 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-cortex-a9' 2024-03-06 23:03:38,996 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-i386' 2024-03-06 23:03:38,997 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-riscv' 2024-03-06 23:03:38,998 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-rv32imac' 2024-03-06 23:03:38,999 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-rv32imafdc' 2024-03-06 23:03:39,000 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-thumbv6m' 2024-03-06 23:03:39,001 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-thumbv7e' 2024-03-06 23:03:39,002 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-thumbv7m' 2024-03-06 23:03:39,003 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-x86' 2024-03-06 23:03:39,004 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-x86_64' 2024-03-06 23:03:39,005 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-aarch64.ld' 2024-03-06 23:03:39,006 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-arm.ld' 2024-03-06 23:03:39,007 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-cortex-a9.ld' 2024-03-06 23:03:39,008 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-i386.ld' 2024-03-06 23:03:39,009 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-m68k.ld' 2024-03-06 23:03:39,010 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-riscv.ld' 2024-03-06 23:03:39,011 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-riscv32.ld' 2024-03-06 23:03:39,011 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-riscv64.ld' 2024-03-06 23:03:39,012 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-x86.ld' 2024-03-06 23:03:39,013 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-x86_64.ld' 2024-03-06 23:03:39,015 wheel INFO adding 'pythondata_software_picolibc/data/semihost/CMakeLists.txt' 2024-03-06 23:03:39,016 wheel INFO adding 'pythondata_software_picolibc/data/semihost/close.c' 2024-03-06 23:03:39,017 wheel INFO adding 'pythondata_software_picolibc/data/semihost/exit.c' 2024-03-06 23:03:39,018 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fstat.c' 2024-03-06 23:03:39,019 wheel INFO adding 'pythondata_software_picolibc/data/semihost/getentropy.c' 2024-03-06 23:03:39,020 wheel INFO adding 'pythondata_software_picolibc/data/semihost/gettimeofday.c' 2024-03-06 23:03:39,021 wheel INFO adding 'pythondata_software_picolibc/data/semihost/iob.c' 2024-03-06 23:03:39,022 wheel INFO adding 'pythondata_software_picolibc/data/semihost/isatty.c' 2024-03-06 23:03:39,023 wheel INFO adding 'pythondata_software_picolibc/data/semihost/kill.c' 2024-03-06 23:03:39,023 wheel INFO adding 'pythondata_software_picolibc/data/semihost/lseek.c' 2024-03-06 23:03:39,024 wheel INFO adding 'pythondata_software_picolibc/data/semihost/lseek64.c' 2024-03-06 23:03:39,025 wheel INFO adding 'pythondata_software_picolibc/data/semihost/mapstdio.c' 2024-03-06 23:03:39,026 wheel INFO adding 'pythondata_software_picolibc/data/semihost/meson.build' 2024-03-06 23:03:39,027 wheel INFO adding 'pythondata_software_picolibc/data/semihost/open.c' 2024-03-06 23:03:39,028 wheel INFO adding 'pythondata_software_picolibc/data/semihost/read.c' 2024-03-06 23:03:39,029 wheel INFO adding 'pythondata_software_picolibc/data/semihost/semihost-private.h' 2024-03-06 23:03:39,030 wheel INFO adding 'pythondata_software_picolibc/data/semihost/semihost.h' 2024-03-06 23:03:39,031 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_clock.c' 2024-03-06 23:03:39,032 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_close.c' 2024-03-06 23:03:39,033 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_elapsed.c' 2024-03-06 23:03:39,034 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_errno.c' 2024-03-06 23:03:39,035 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_exit.c' 2024-03-06 23:03:39,036 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_exit_extended.c' 2024-03-06 23:03:39,037 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_feature.c' 2024-03-06 23:03:39,038 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_flen.c' 2024-03-06 23:03:39,039 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_get_cmdline.c' 2024-03-06 23:03:39,040 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_getc.c' 2024-03-06 23:03:39,041 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_heapinfo.c' 2024-03-06 23:03:39,042 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_iserror.c' 2024-03-06 23:03:39,043 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_istty.c' 2024-03-06 23:03:39,044 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_open.c' 2024-03-06 23:03:39,045 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_putc.c' 2024-03-06 23:03:39,046 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_read.c' 2024-03-06 23:03:39,047 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_remove.c' 2024-03-06 23:03:39,048 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_rename.c' 2024-03-06 23:03:39,049 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_seek.c' 2024-03-06 23:03:39,050 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_system.c' 2024-03-06 23:03:39,051 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_tickfreq.c' 2024-03-06 23:03:39,052 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_time.c' 2024-03-06 23:03:39,053 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_tmpnam.c' 2024-03-06 23:03:39,054 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_write.c' 2024-03-06 23:03:39,055 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_write0.c' 2024-03-06 23:03:39,056 wheel INFO adding 'pythondata_software_picolibc/data/semihost/unlink.c' 2024-03-06 23:03:39,057 wheel INFO adding 'pythondata_software_picolibc/data/semihost/write.c' 2024-03-06 23:03:39,058 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/fake_exit.c' 2024-03-06 23:03:39,059 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/fake_io.c' 2024-03-06 23:03:39,060 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/fake_kill.c' 2024-03-06 23:03:39,061 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/fake_stub.c' 2024-03-06 23:03:39,062 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/meson.build' 2024-03-06 23:03:39,063 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/aarch64/meson.build' 2024-03-06 23:03:39,064 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/aarch64/semihost-aarch64.S' 2024-03-06 23:03:39,065 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/arm/CMakeLists.txt' 2024-03-06 23:03:39,066 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/arm/meson.build' 2024-03-06 23:03:39,067 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/arm/semihost-arm.S' 2024-03-06 23:03:39,068 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/riscv/meson.build' 2024-03-06 23:03:39,069 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/riscv/semihost-riscv.s' 2024-03-06 23:03:39,070 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/bios.S' 2024-03-06 23:03:39,071 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/bios.ld' 2024-03-06 23:03:39,072 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/e9_exit.c' 2024-03-06 23:03:39,073 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/e9_io.c' 2024-03-06 23:03:39,074 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/e9_kill.c' 2024-03-06 23:03:39,075 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/e9_stub.c' 2024-03-06 23:03:39,076 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/meson.build' 2024-03-06 23:03:39,078 wheel INFO adding 'pythondata_software_picolibc/data/test/CMakeLists.txt' 2024-03-06 23:03:39,078 wheel INFO adding 'pythondata_software_picolibc/data/test/abort.c' 2024-03-06 23:03:39,079 wheel INFO adding 'pythondata_software_picolibc/data/test/atexit.c' 2024-03-06 23:03:39,080 wheel INFO adding 'pythondata_software_picolibc/data/test/complex-funcs.c' 2024-03-06 23:03:39,081 wheel INFO adding 'pythondata_software_picolibc/data/test/constructor-skip.c' 2024-03-06 23:03:39,082 wheel INFO adding 'pythondata_software_picolibc/data/test/constructor.c' 2024-03-06 23:03:39,083 wheel INFO adding 'pythondata_software_picolibc/data/test/fenv.c' 2024-03-06 23:03:39,084 wheel INFO adding 'pythondata_software_picolibc/data/test/ffs.c' 2024-03-06 23:03:39,085 wheel INFO adding 'pythondata_software_picolibc/data/test/hosted-exit.c' 2024-03-06 23:03:39,086 wheel INFO adding 'pythondata_software_picolibc/data/test/lock-valid.c' 2024-03-06 23:03:39,087 wheel INFO adding 'pythondata_software_picolibc/data/test/malloc.c' 2024-03-06 23:03:39,088 wheel INFO adding 'pythondata_software_picolibc/data/test/malloc_stress.c' 2024-03-06 23:03:39,089 wheel INFO adding 'pythondata_software_picolibc/data/test/math-funcs.c' 2024-03-06 23:03:39,091 wheel INFO adding 'pythondata_software_picolibc/data/test/math_errhandling.c' 2024-03-06 23:03:39,092 wheel INFO adding 'pythondata_software_picolibc/data/test/math_errhandling_tests.c' 2024-03-06 23:03:39,094 wheel INFO adding 'pythondata_software_picolibc/data/test/meson.build' 2024-03-06 23:03:39,095 wheel INFO adding 'pythondata_software_picolibc/data/test/on_exit.c' 2024-03-06 23:03:39,096 wheel INFO adding 'pythondata_software_picolibc/data/test/posix-io.c' 2024-03-06 23:03:39,097 wheel INFO adding 'pythondata_software_picolibc/data/test/printf-tests.c' 2024-03-06 23:03:39,098 wheel INFO adding 'pythondata_software_picolibc/data/test/printf_scanf.c' 2024-03-06 23:03:39,099 wheel INFO adding 'pythondata_software_picolibc/data/test/rand.c' 2024-03-06 23:03:39,100 wheel INFO adding 'pythondata_software_picolibc/data/test/regex.c' 2024-03-06 23:03:39,101 wheel INFO adding 'pythondata_software_picolibc/data/test/rounding-mode-sub.c' 2024-03-06 23:03:39,102 wheel INFO adding 'pythondata_software_picolibc/data/test/rounding-mode.c' 2024-03-06 23:03:39,103 wheel INFO adding 'pythondata_software_picolibc/data/test/setjmp.c' 2024-03-06 23:03:39,104 wheel INFO adding 'pythondata_software_picolibc/data/test/stack-smash.c' 2024-03-06 23:03:39,105 wheel INFO adding 'pythondata_software_picolibc/data/test/test-efcvt.c' 2024-03-06 23:03:39,106 wheel INFO adding 'pythondata_software_picolibc/data/test/test-except.c' 2024-03-06 23:03:39,107 wheel INFO adding 'pythondata_software_picolibc/data/test/test-fopen.c' 2024-03-06 23:03:39,108 wheel INFO adding 'pythondata_software_picolibc/data/test/test-memset.c' 2024-03-06 23:03:39,109 wheel INFO adding 'pythondata_software_picolibc/data/test/test-mktemp.c' 2024-03-06 23:03:39,110 wheel INFO adding 'pythondata_software_picolibc/data/test/test-put.c' 2024-03-06 23:03:39,111 wheel INFO adding 'pythondata_software_picolibc/data/test/test-strchr.c' 2024-03-06 23:03:39,112 wheel INFO adding 'pythondata_software_picolibc/data/test/test-strtod.c' 2024-03-06 23:03:39,113 wheel INFO adding 'pythondata_software_picolibc/data/test/testcases.c' 2024-03-06 23:03:39,114 wheel INFO adding 'pythondata_software_picolibc/data/test/time-sprintf.c' 2024-03-06 23:03:39,115 wheel INFO adding 'pythondata_software_picolibc/data/test/time-tests.c' 2024-03-06 23:03:39,116 wheel INFO adding 'pythondata_software_picolibc/data/test/timegm.c' 2024-03-06 23:03:39,118 wheel INFO adding 'pythondata_software_picolibc/data/test/timegm.h' 2024-03-06 23:03:39,123 wheel INFO adding 'pythondata_software_picolibc/data/test/tls.c' 2024-03-06 23:03:39,124 wheel INFO adding 'pythondata_software_picolibc/data/test/try-ilp32-sub.c' 2024-03-06 23:03:39,125 wheel INFO adding 'pythondata_software_picolibc/data/test/try-ilp32.c' 2024-03-06 23:03:39,126 wheel INFO adding 'pythondata_software_picolibc/data/test/try-ilp32.h' 2024-03-06 23:03:39,127 wheel INFO adding 'pythondata_software_picolibc/data/test/ungetc.c' 2024-03-06 23:03:39,128 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/basename.c' 2024-03-06 23:03:39,129 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/dirname.c' 2024-03-06 23:03:39,130 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/fnmatch.c' 2024-03-06 23:03:39,131 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/meson.build' 2024-03-06 23:03:39,132 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/qsort.c' 2024-03-06 23:03:39,133 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/snprintf.c' 2024-03-06 23:03:39,134 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/sscanf.c' 2024-03-06 23:03:39,135 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/string.c' 2024-03-06 23:03:39,136 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/strtod.c' 2024-03-06 23:03:39,137 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/strtol.c' 2024-03-06 23:03:39,138 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/testcase.h' 2024-03-06 23:03:39,140 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/meson.build' 2024-03-06 23:03:39,141 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-argv.c' 2024-03-06 23:03:39,142 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-clock.c' 2024-03-06 23:03:39,143 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-close.c' 2024-03-06 23:03:39,144 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-elapsed.c' 2024-03-06 23:03:39,145 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-errno.c' 2024-03-06 23:03:39,146 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-exit-extended-failure.c' 2024-03-06 23:03:39,146 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-exit-extended.c' 2024-03-06 23:03:39,147 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-exit-failure.c' 2024-03-06 23:03:39,148 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-exit.c' 2024-03-06 23:03:39,149 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-flen.c' 2024-03-06 23:03:39,150 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-get-cmdline.c' 2024-03-06 23:03:39,151 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-gettimeofday.c' 2024-03-06 23:03:39,152 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-heapinfo.c' 2024-03-06 23:03:39,153 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-iserror.c' 2024-03-06 23:03:39,154 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-istty.c' 2024-03-06 23:03:39,155 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-open.c' 2024-03-06 23:03:39,156 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-read.c' 2024-03-06 23:03:39,157 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-readc.c' 2024-03-06 23:03:39,158 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-remove.c' 2024-03-06 23:03:39,159 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-rename.c' 2024-03-06 23:03:39,160 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-seek.c' 2024-03-06 23:03:39,161 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-system-failure.c' 2024-03-06 23:03:39,162 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-system.c' 2024-03-06 23:03:39,163 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-tickfreq.c' 2024-03-06 23:03:39,164 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-time.c' 2024-03-06 23:03:39,165 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-tmpnam.c' 2024-03-06 23:03:39,166 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-tmpname.c' 2024-03-06 23:03:39,167 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-write.c' 2024-03-06 23:03:39,168 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-write0.c' 2024-03-06 23:03:39,169 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-writec.c' 2024-03-06 23:03:39,170 wheel INFO adding 'pythondata_software_picolibc/data/zephyr/Kconfig' 2024-03-06 23:03:39,171 wheel INFO adding 'pythondata_software_picolibc/data/zephyr/module.yml' 2024-03-06 23:03:39,172 wheel INFO adding 'pythondata_software_picolibc/data/zephyr/zephyr.cmake' 2024-03-06 23:03:39,173 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/LICENSE' 2024-03-06 23:03:39,174 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/METADATA' 2024-03-06 23:03:39,175 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/WHEEL' 2024-03-06 23:03:39,176 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/top_level.txt' 2024-03-06 23:03:39,272 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/RECORD' 2024-03-06 23:03:39,354 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:03:39,513 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/pythondata_software_picolibc-1.7.9.post181-py3-none-any.whl pythondata_software_picolibc-1.7.9.post181-py3-none-any.whl --> Building LiteX Hub module valentyusb 2024-03-06 23:03:40,656 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-03-06 23:03:40,843 root INFO running bdist_wheel 2024-03-06 23:03:40,926 root INFO running build 2024-03-06 23:03:40,926 root INFO running build_py 2024-03-06 23:03:40,938 root INFO creating build 2024-03-06 23:03:40,939 root INFO creating build/lib 2024-03-06 23:03:40,939 root INFO creating build/lib/valentyusb 2024-03-06 23:03:40,940 root INFO copying valentyusb/__init__.py -> build/lib/valentyusb 2024-03-06 23:03:40,942 root INFO creating build/lib/valentyusb/usbcore 2024-03-06 23:03:40,943 root INFO copying valentyusb/usbcore/__init__.py -> build/lib/valentyusb/usbcore 2024-03-06 23:03:40,944 root INFO copying valentyusb/usbcore/endpoint.py -> build/lib/valentyusb/usbcore 2024-03-06 23:03:40,945 root INFO copying valentyusb/usbcore/io.py -> build/lib/valentyusb/usbcore 2024-03-06 23:03:40,946 root INFO copying valentyusb/usbcore/pid.py -> build/lib/valentyusb/usbcore 2024-03-06 23:03:40,947 root INFO copying valentyusb/usbcore/io_test.py -> build/lib/valentyusb/usbcore 2024-03-06 23:03:40,949 root INFO creating build/lib/valentyusb/usbcore/sm 2024-03-06 23:03:40,949 root INFO copying valentyusb/usbcore/sm/__init__.py -> build/lib/valentyusb/usbcore/sm 2024-03-06 23:03:40,950 root INFO copying valentyusb/usbcore/sm/header_test.py -> build/lib/valentyusb/usbcore/sm 2024-03-06 23:03:40,951 root INFO copying valentyusb/usbcore/sm/transfer_test.py -> build/lib/valentyusb/usbcore/sm 2024-03-06 23:03:40,952 root INFO copying valentyusb/usbcore/sm/send.py -> build/lib/valentyusb/usbcore/sm 2024-03-06 23:03:40,953 root INFO copying valentyusb/usbcore/sm/transfer.py -> build/lib/valentyusb/usbcore/sm 2024-03-06 23:03:40,954 root INFO copying valentyusb/usbcore/sm/send_test.py -> build/lib/valentyusb/usbcore/sm 2024-03-06 23:03:40,955 root INFO copying valentyusb/usbcore/sm/header.py -> build/lib/valentyusb/usbcore/sm 2024-03-06 23:03:40,957 root INFO creating build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,957 root INFO copying valentyusb/usbcore/rx/shifter.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,958 root INFO copying valentyusb/usbcore/rx/bitstuff.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,959 root INFO copying valentyusb/usbcore/rx/nrzi_test.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,960 root INFO copying valentyusb/usbcore/rx/nrzi.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,961 root INFO copying valentyusb/usbcore/rx/clock.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,962 root INFO copying valentyusb/usbcore/rx/crc_test.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,963 root INFO copying valentyusb/usbcore/rx/__init__.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,964 root INFO copying valentyusb/usbcore/rx/detect_test.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,965 root INFO copying valentyusb/usbcore/rx/bitstuff_test.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,965 root INFO copying valentyusb/usbcore/rx/detect.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,966 root INFO copying valentyusb/usbcore/rx/clock_test.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,967 root INFO copying valentyusb/usbcore/rx/crc.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,968 root INFO copying valentyusb/usbcore/rx/shifter_test.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,969 root INFO copying valentyusb/usbcore/rx/pipeline_test.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,970 root INFO copying valentyusb/usbcore/rx/pipeline.py -> build/lib/valentyusb/usbcore/rx 2024-03-06 23:03:40,972 root INFO creating build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,973 root INFO copying valentyusb/usbcore/cpu/cdc_eptri.py -> build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,974 root INFO copying valentyusb/usbcore/cpu/__init__.py -> build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,974 root INFO copying valentyusb/usbcore/cpu/eptri.py -> build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,975 root INFO copying valentyusb/usbcore/cpu/epmem_test.py -> build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,976 root INFO copying valentyusb/usbcore/cpu/unififo_test.py -> build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,977 root INFO copying valentyusb/usbcore/cpu/epfifo_test.py -> build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,978 root INFO copying valentyusb/usbcore/cpu/eptri_test.py -> build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,979 root INFO copying valentyusb/usbcore/cpu/epmem.py -> build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,980 root INFO copying valentyusb/usbcore/cpu/dummyusb.py -> build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,981 root INFO copying valentyusb/usbcore/cpu/epfifo.py -> build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,982 root INFO copying valentyusb/usbcore/cpu/unififo.py -> build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,983 root INFO copying valentyusb/usbcore/cpu/usbwishbonebridge.py -> build/lib/valentyusb/usbcore/cpu 2024-03-06 23:03:40,984 root INFO creating build/lib/valentyusb/usbcore/test 2024-03-06 23:03:40,985 root INFO copying valentyusb/usbcore/test/clock.py -> build/lib/valentyusb/usbcore/test 2024-03-06 23:03:40,986 root INFO copying valentyusb/usbcore/test/common.py -> build/lib/valentyusb/usbcore/test 2024-03-06 23:03:40,987 root INFO copying valentyusb/usbcore/test/__init__.py -> build/lib/valentyusb/usbcore/test 2024-03-06 23:03:40,989 root INFO creating build/lib/valentyusb/usbcore/utils 2024-03-06 23:03:40,989 root INFO copying valentyusb/usbcore/utils/sdiff.py -> build/lib/valentyusb/usbcore/utils 2024-03-06 23:03:40,990 root INFO copying valentyusb/usbcore/utils/pprint.py -> build/lib/valentyusb/usbcore/utils 2024-03-06 23:03:40,991 root INFO copying valentyusb/usbcore/utils/packet.py -> build/lib/valentyusb/usbcore/utils 2024-03-06 23:03:40,992 root INFO copying valentyusb/usbcore/utils/__init__.py -> build/lib/valentyusb/usbcore/utils 2024-03-06 23:03:40,993 root INFO copying valentyusb/usbcore/utils/CrcMoose3.py -> build/lib/valentyusb/usbcore/utils 2024-03-06 23:03:40,994 root INFO copying valentyusb/usbcore/utils/asserts.py -> build/lib/valentyusb/usbcore/utils 2024-03-06 23:03:40,995 root INFO copying valentyusb/usbcore/utils/vcd.py -> build/lib/valentyusb/usbcore/utils 2024-03-06 23:03:40,996 root INFO copying valentyusb/usbcore/utils/bits.py -> build/lib/valentyusb/usbcore/utils 2024-03-06 23:03:40,998 root INFO creating build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:40,998 root INFO copying valentyusb/usbcore/tx/shifter.py -> build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:40,999 root INFO copying valentyusb/usbcore/tx/bitstuff.py -> build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:41,000 root INFO copying valentyusb/usbcore/tx/nrzi_test.py -> build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:41,001 root INFO copying valentyusb/usbcore/tx/nrzi.py -> build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:41,001 root INFO copying valentyusb/usbcore/tx/crc_test.py -> build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:41,002 root INFO copying valentyusb/usbcore/tx/__init__.py -> build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:41,003 root INFO copying valentyusb/usbcore/tx/bitstuff_test.py -> build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:41,004 root INFO copying valentyusb/usbcore/tx/tester.py -> build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:41,005 root INFO copying valentyusb/usbcore/tx/crc.py -> build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:41,006 root INFO copying valentyusb/usbcore/tx/shifter_test.py -> build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:41,006 root INFO copying valentyusb/usbcore/tx/pipeline_test.py -> build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:41,007 root INFO copying valentyusb/usbcore/tx/pipeline.py -> build/lib/valentyusb/usbcore/tx 2024-03-06 23:03:41,008 root INFO running egg_info 2024-03-06 23:03:41,009 root INFO creating valentyusb.egg-info 2024-03-06 23:03:41,016 root INFO writing valentyusb.egg-info/PKG-INFO 2024-03-06 23:03:41,019 root INFO writing dependency_links to valentyusb.egg-info/dependency_links.txt 2024-03-06 23:03:41,020 root INFO writing top-level names to valentyusb.egg-info/top_level.txt 2024-03-06 23:03:41,021 root INFO writing manifest file 'valentyusb.egg-info/SOURCES.txt' 2024-03-06 23:03:41,042 root INFO reading manifest file 'valentyusb.egg-info/SOURCES.txt' 2024-03-06 23:03:41,043 root INFO adding license file 'LICENSE' 2024-03-06 23:03:41,051 root INFO writing manifest file 'valentyusb.egg-info/SOURCES.txt' 2024-03-06 23:03:41,089 wheel INFO installing to build/bdist.linux-riscv64/wheel 2024-03-06 23:03:41,089 root INFO running install 2024-03-06 23:03:41,164 root INFO running install_lib 2024-03-06 23:03:41,174 root INFO creating build/bdist.linux-riscv64 2024-03-06 23:03:41,174 root INFO creating build/bdist.linux-riscv64/wheel 2024-03-06 23:03:41,175 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb 2024-03-06 23:03:41,175 root INFO copying build/lib/valentyusb/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb 2024-03-06 23:03:41,176 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore 2024-03-06 23:03:41,177 root INFO copying build/lib/valentyusb/usbcore/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore 2024-03-06 23:03:41,178 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-03-06 23:03:41,178 root INFO copying build/lib/valentyusb/usbcore/sm/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-03-06 23:03:41,179 root INFO copying build/lib/valentyusb/usbcore/sm/header_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-03-06 23:03:41,180 root INFO copying build/lib/valentyusb/usbcore/sm/transfer_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-03-06 23:03:41,180 root INFO copying build/lib/valentyusb/usbcore/sm/send.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-03-06 23:03:41,181 root INFO copying build/lib/valentyusb/usbcore/sm/transfer.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-03-06 23:03:41,182 root INFO copying build/lib/valentyusb/usbcore/sm/send_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-03-06 23:03:41,183 root INFO copying build/lib/valentyusb/usbcore/sm/header.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/sm 2024-03-06 23:03:41,184 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,184 root INFO copying build/lib/valentyusb/usbcore/rx/shifter.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,185 root INFO copying build/lib/valentyusb/usbcore/rx/bitstuff.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,186 root INFO copying build/lib/valentyusb/usbcore/rx/nrzi_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,186 root INFO copying build/lib/valentyusb/usbcore/rx/nrzi.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,187 root INFO copying build/lib/valentyusb/usbcore/rx/clock.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,188 root INFO copying build/lib/valentyusb/usbcore/rx/crc_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,189 root INFO copying build/lib/valentyusb/usbcore/rx/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,189 root INFO copying build/lib/valentyusb/usbcore/rx/detect_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,190 root INFO copying build/lib/valentyusb/usbcore/rx/bitstuff_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,191 root INFO copying build/lib/valentyusb/usbcore/rx/detect.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,192 root INFO copying build/lib/valentyusb/usbcore/rx/clock_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,192 root INFO copying build/lib/valentyusb/usbcore/rx/crc.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,193 root INFO copying build/lib/valentyusb/usbcore/rx/shifter_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,194 root INFO copying build/lib/valentyusb/usbcore/rx/pipeline_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,195 root INFO copying build/lib/valentyusb/usbcore/rx/pipeline.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/rx 2024-03-06 23:03:41,195 root INFO copying build/lib/valentyusb/usbcore/endpoint.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore 2024-03-06 23:03:41,196 root INFO copying build/lib/valentyusb/usbcore/io.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore 2024-03-06 23:03:41,197 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,197 root INFO copying build/lib/valentyusb/usbcore/cpu/cdc_eptri.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,198 root INFO copying build/lib/valentyusb/usbcore/cpu/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,199 root INFO copying build/lib/valentyusb/usbcore/cpu/eptri.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,200 root INFO copying build/lib/valentyusb/usbcore/cpu/epmem_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,201 root INFO copying build/lib/valentyusb/usbcore/cpu/unififo_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,201 root INFO copying build/lib/valentyusb/usbcore/cpu/epfifo_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,202 root INFO copying build/lib/valentyusb/usbcore/cpu/eptri_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,203 root INFO copying build/lib/valentyusb/usbcore/cpu/epmem.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,204 root INFO copying build/lib/valentyusb/usbcore/cpu/dummyusb.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,205 root INFO copying build/lib/valentyusb/usbcore/cpu/epfifo.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,205 root INFO copying build/lib/valentyusb/usbcore/cpu/unififo.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,206 root INFO copying build/lib/valentyusb/usbcore/cpu/usbwishbonebridge.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/cpu 2024-03-06 23:03:41,207 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore/test 2024-03-06 23:03:41,207 root INFO copying build/lib/valentyusb/usbcore/test/clock.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/test 2024-03-06 23:03:41,208 root INFO copying build/lib/valentyusb/usbcore/test/common.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/test 2024-03-06 23:03:41,209 root INFO copying build/lib/valentyusb/usbcore/test/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/test 2024-03-06 23:03:41,210 root INFO copying build/lib/valentyusb/usbcore/pid.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore 2024-03-06 23:03:41,211 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-03-06 23:03:41,211 root INFO copying build/lib/valentyusb/usbcore/utils/sdiff.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-03-06 23:03:41,212 root INFO copying build/lib/valentyusb/usbcore/utils/pprint.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-03-06 23:03:41,213 root INFO copying build/lib/valentyusb/usbcore/utils/packet.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-03-06 23:03:41,214 root INFO copying build/lib/valentyusb/usbcore/utils/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-03-06 23:03:41,214 root INFO copying build/lib/valentyusb/usbcore/utils/CrcMoose3.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-03-06 23:03:41,215 root INFO copying build/lib/valentyusb/usbcore/utils/asserts.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-03-06 23:03:41,216 root INFO copying build/lib/valentyusb/usbcore/utils/vcd.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-03-06 23:03:41,217 root INFO copying build/lib/valentyusb/usbcore/utils/bits.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/utils 2024-03-06 23:03:41,217 root INFO creating build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,218 root INFO copying build/lib/valentyusb/usbcore/tx/shifter.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,219 root INFO copying build/lib/valentyusb/usbcore/tx/bitstuff.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,219 root INFO copying build/lib/valentyusb/usbcore/tx/nrzi_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,220 root INFO copying build/lib/valentyusb/usbcore/tx/nrzi.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,221 root INFO copying build/lib/valentyusb/usbcore/tx/crc_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,222 root INFO copying build/lib/valentyusb/usbcore/tx/__init__.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,222 root INFO copying build/lib/valentyusb/usbcore/tx/bitstuff_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,223 root INFO copying build/lib/valentyusb/usbcore/tx/tester.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,224 root INFO copying build/lib/valentyusb/usbcore/tx/crc.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,225 root INFO copying build/lib/valentyusb/usbcore/tx/shifter_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,225 root INFO copying build/lib/valentyusb/usbcore/tx/pipeline_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,226 root INFO copying build/lib/valentyusb/usbcore/tx/pipeline.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore/tx 2024-03-06 23:03:41,227 root INFO copying build/lib/valentyusb/usbcore/io_test.py -> build/bdist.linux-riscv64/wheel/valentyusb/usbcore 2024-03-06 23:03:41,228 root INFO running install_egg_info 2024-03-06 23:03:41,245 root INFO Copying valentyusb.egg-info to build/bdist.linux-riscv64/wheel/valentyusb-0.0.0-py3.11.egg-info 2024-03-06 23:03:41,249 root INFO running install_scripts 2024-03-06 23:03:41,256 wheel INFO creating build/bdist.linux-riscv64/wheel/valentyusb-0.0.0.dist-info/WHEEL 2024-03-06 23:03:41,259 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/.tmp-em6iz5jl/valentyusb-0.0.0-py3-none-any.whl' and adding 'build/bdist.linux-riscv64/wheel' to it 2024-03-06 23:03:41,262 wheel INFO adding 'valentyusb/__init__.py' 2024-03-06 23:03:41,266 wheel INFO adding 'valentyusb/usbcore/__init__.py' 2024-03-06 23:03:41,267 wheel INFO adding 'valentyusb/usbcore/endpoint.py' 2024-03-06 23:03:41,268 wheel INFO adding 'valentyusb/usbcore/io.py' 2024-03-06 23:03:41,269 wheel INFO adding 'valentyusb/usbcore/io_test.py' 2024-03-06 23:03:41,270 wheel INFO adding 'valentyusb/usbcore/pid.py' 2024-03-06 23:03:41,272 wheel INFO adding 'valentyusb/usbcore/cpu/__init__.py' 2024-03-06 23:03:41,273 wheel INFO adding 'valentyusb/usbcore/cpu/cdc_eptri.py' 2024-03-06 23:03:41,274 wheel INFO adding 'valentyusb/usbcore/cpu/dummyusb.py' 2024-03-06 23:03:41,276 wheel INFO adding 'valentyusb/usbcore/cpu/epfifo.py' 2024-03-06 23:03:41,277 wheel INFO adding 'valentyusb/usbcore/cpu/epfifo_test.py' 2024-03-06 23:03:41,278 wheel INFO adding 'valentyusb/usbcore/cpu/epmem.py' 2024-03-06 23:03:41,279 wheel INFO adding 'valentyusb/usbcore/cpu/epmem_test.py' 2024-03-06 23:03:41,280 wheel INFO adding 'valentyusb/usbcore/cpu/eptri.py' 2024-03-06 23:03:41,282 wheel INFO adding 'valentyusb/usbcore/cpu/eptri_test.py' 2024-03-06 23:03:41,283 wheel INFO adding 'valentyusb/usbcore/cpu/unififo.py' 2024-03-06 23:03:41,284 wheel INFO adding 'valentyusb/usbcore/cpu/unififo_test.py' 2024-03-06 23:03:41,285 wheel INFO adding 'valentyusb/usbcore/cpu/usbwishbonebridge.py' 2024-03-06 23:03:41,286 wheel INFO adding 'valentyusb/usbcore/rx/__init__.py' 2024-03-06 23:03:41,287 wheel INFO adding 'valentyusb/usbcore/rx/bitstuff.py' 2024-03-06 23:03:41,288 wheel INFO adding 'valentyusb/usbcore/rx/bitstuff_test.py' 2024-03-06 23:03:41,289 wheel INFO adding 'valentyusb/usbcore/rx/clock.py' 2024-03-06 23:03:41,290 wheel INFO adding 'valentyusb/usbcore/rx/clock_test.py' 2024-03-06 23:03:41,291 wheel INFO adding 'valentyusb/usbcore/rx/crc.py' 2024-03-06 23:03:41,292 wheel INFO adding 'valentyusb/usbcore/rx/crc_test.py' 2024-03-06 23:03:41,293 wheel INFO adding 'valentyusb/usbcore/rx/detect.py' 2024-03-06 23:03:41,294 wheel INFO adding 'valentyusb/usbcore/rx/detect_test.py' 2024-03-06 23:03:41,295 wheel INFO adding 'valentyusb/usbcore/rx/nrzi.py' 2024-03-06 23:03:41,296 wheel INFO adding 'valentyusb/usbcore/rx/nrzi_test.py' 2024-03-06 23:03:41,297 wheel INFO adding 'valentyusb/usbcore/rx/pipeline.py' 2024-03-06 23:03:41,298 wheel INFO adding 'valentyusb/usbcore/rx/pipeline_test.py' 2024-03-06 23:03:41,300 wheel INFO adding 'valentyusb/usbcore/rx/shifter.py' 2024-03-06 23:03:41,301 wheel INFO adding 'valentyusb/usbcore/rx/shifter_test.py' 2024-03-06 23:03:41,302 wheel INFO adding 'valentyusb/usbcore/sm/__init__.py' 2024-03-06 23:03:41,303 wheel INFO adding 'valentyusb/usbcore/sm/header.py' 2024-03-06 23:03:41,304 wheel INFO adding 'valentyusb/usbcore/sm/header_test.py' 2024-03-06 23:03:41,305 wheel INFO adding 'valentyusb/usbcore/sm/send.py' 2024-03-06 23:03:41,306 wheel INFO adding 'valentyusb/usbcore/sm/send_test.py' 2024-03-06 23:03:41,307 wheel INFO adding 'valentyusb/usbcore/sm/transfer.py' 2024-03-06 23:03:41,308 wheel INFO adding 'valentyusb/usbcore/sm/transfer_test.py' 2024-03-06 23:03:41,309 wheel INFO adding 'valentyusb/usbcore/test/__init__.py' 2024-03-06 23:03:41,310 wheel INFO adding 'valentyusb/usbcore/test/clock.py' 2024-03-06 23:03:41,311 wheel INFO adding 'valentyusb/usbcore/test/common.py' 2024-03-06 23:03:41,313 wheel INFO adding 'valentyusb/usbcore/tx/__init__.py' 2024-03-06 23:03:41,314 wheel INFO adding 'valentyusb/usbcore/tx/bitstuff.py' 2024-03-06 23:03:41,315 wheel INFO adding 'valentyusb/usbcore/tx/bitstuff_test.py' 2024-03-06 23:03:41,316 wheel INFO adding 'valentyusb/usbcore/tx/crc.py' 2024-03-06 23:03:41,317 wheel INFO adding 'valentyusb/usbcore/tx/crc_test.py' 2024-03-06 23:03:41,318 wheel INFO adding 'valentyusb/usbcore/tx/nrzi.py' 2024-03-06 23:03:41,319 wheel INFO adding 'valentyusb/usbcore/tx/nrzi_test.py' 2024-03-06 23:03:41,320 wheel INFO adding 'valentyusb/usbcore/tx/pipeline.py' 2024-03-06 23:03:41,321 wheel INFO adding 'valentyusb/usbcore/tx/pipeline_test.py' 2024-03-06 23:03:41,322 wheel INFO adding 'valentyusb/usbcore/tx/shifter.py' 2024-03-06 23:03:41,323 wheel INFO adding 'valentyusb/usbcore/tx/shifter_test.py' 2024-03-06 23:03:41,324 wheel INFO adding 'valentyusb/usbcore/tx/tester.py' 2024-03-06 23:03:41,325 wheel INFO adding 'valentyusb/usbcore/utils/CrcMoose3.py' 2024-03-06 23:03:41,326 wheel INFO adding 'valentyusb/usbcore/utils/__init__.py' 2024-03-06 23:03:41,327 wheel INFO adding 'valentyusb/usbcore/utils/asserts.py' 2024-03-06 23:03:41,328 wheel INFO adding 'valentyusb/usbcore/utils/bits.py' 2024-03-06 23:03:41,329 wheel INFO adding 'valentyusb/usbcore/utils/packet.py' 2024-03-06 23:03:41,330 wheel INFO adding 'valentyusb/usbcore/utils/pprint.py' 2024-03-06 23:03:41,332 wheel INFO adding 'valentyusb/usbcore/utils/sdiff.py' 2024-03-06 23:03:41,334 wheel INFO adding 'valentyusb/usbcore/utils/vcd.py' 2024-03-06 23:03:41,336 wheel INFO adding 'valentyusb-0.0.0.dist-info/LICENSE' 2024-03-06 23:03:41,337 wheel INFO adding 'valentyusb-0.0.0.dist-info/METADATA' 2024-03-06 23:03:41,337 wheel INFO adding 'valentyusb-0.0.0.dist-info/WHEEL' 2024-03-06 23:03:41,338 wheel INFO adding 'valentyusb-0.0.0.dist-info/top_level.txt' 2024-03-06 23:03:41,341 wheel INFO adding 'valentyusb-0.0.0.dist-info/RECORD' 2024-03-06 23:03:41,344 wheel INFO removing build/bdist.linux-riscv64/wheel 2024-03-06 23:03:41,349 gpep517 INFO The backend produced /home/buildozer/aports/testing/py3-litex-hub-modules/src/.dist/valentyusb-0.0.0-py3-none-any.whl valentyusb-0.0.0-py3-none-any.whl >>> py3-litex-hub-modules: Entering fakeroot... >>> py3-litex-hub-modules-pyc*: Running split function pyc... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/system_verilog/ci/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/system_verilog/ci/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/system_verilog/docs/source/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/system_verilog/docs/source/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/units/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/units/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/units/debug/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/units/debug/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/sm/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/sm/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/rx/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/rx/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/cpu/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/cpu/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/utils/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/utils/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/tx/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/tx/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/util/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/util/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/ci/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/ci/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/syn/python/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/syn/python/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/doc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/doc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva5/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva5/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva5/system_verilog/tools/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva5/system_verilog/tools/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/docs/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/docs/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/asan/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/asan/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/dfsan/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/dfsan/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/test/asan/android_commands/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/test/asan/android_commands/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_picolibc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_picolibc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_picolibc/data/newlib/doc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_picolibc/data/newlib/doc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/picosoc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/picosoc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/firmware/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/firmware/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/torture/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/torture/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/romload/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/romload/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litesdcard/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litesdcard/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/liteeth/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/liteeth/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/extras/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/extras/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/gen-src/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/gen-src/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_serv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv/verilog/sw/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_serv/verilog/sw/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv/verilog/riscv-target/serv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_serv/verilog/riscv-target/serv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/ci/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/ci/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_lm32/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_lm32/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_rocket/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_rocket/__pycache__' >>> py3-litex-hub-modules-pyc*: Preparing subpackage py3-litex-hub-modules-pyc... >>> py3-litex-hub-modules-pyc*: Running postcheck for py3-litex-hub-modules-pyc >>> py3-litex-hub-pythondata-cpu-blackparrot*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-blackparrot/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot-0.0.post1817.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-blackparrot/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot-0.0.post1817.dist-info' >>> py3-litex-hub-pythondata-cpu-blackparrot*: Preparing subpackage py3-litex-hub-pythondata-cpu-blackparrot... >>> py3-litex-hub-pythondata-cpu-blackparrot*: Running postcheck for py3-litex-hub-pythondata-cpu-blackparrot >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cv32e40p/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p-0.0.post152.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cv32e40p/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p-0.0.post152.dist-info' >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Preparing subpackage py3-litex-hub-pythondata-cpu-cv32e40p... >>> WARNING: py3-litex-hub-pythondata-cpu-cv32e40p*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Running postcheck for py3-litex-hub-pythondata-cpu-cv32e40p >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cv32e41p/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p-0.0.post1883.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cv32e41p/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p-0.0.post1883.dist-info' >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Preparing subpackage py3-litex-hub-pythondata-cpu-cv32e41p... >>> WARNING: py3-litex-hub-pythondata-cpu-cv32e41p*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Running postcheck for py3-litex-hub-pythondata-cpu-cv32e41p >>> py3-litex-hub-pythondata-cpu-cva5*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva5' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cva5/usr/lib/python3.11/site-packages/pythondata_cpu_cva5' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva5-0.0.post649.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cva5/usr/lib/python3.11/site-packages/pythondata_cpu_cva5-0.0.post649.dist-info' >>> py3-litex-hub-pythondata-cpu-cva5*: Preparing subpackage py3-litex-hub-pythondata-cpu-cva5... >>> WARNING: py3-litex-hub-pythondata-cpu-cva5*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-cva5*: Running postcheck for py3-litex-hub-pythondata-cpu-cva5 >>> py3-litex-hub-pythondata-cpu-cva6*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cva6/usr/lib/python3.11/site-packages/pythondata_cpu_cva6' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6-4.2.0.post435.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cva6/usr/lib/python3.11/site-packages/pythondata_cpu_cva6-4.2.0.post435.dist-info' >>> py3-litex-hub-pythondata-cpu-cva6*: Preparing subpackage py3-litex-hub-pythondata-cpu-cva6... >>> py3-litex-hub-pythondata-cpu-cva6*: Running postcheck for py3-litex-hub-pythondata-cpu-cva6 >>> py3-litex-hub-pythondata-cpu-ibex*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-ibex/usr/lib/python3.11/site-packages/pythondata_cpu_ibex' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex-0.0.post2214.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-ibex/usr/lib/python3.11/site-packages/pythondata_cpu_ibex-0.0.post2214.dist-info' >>> py3-litex-hub-pythondata-cpu-ibex*: Preparing subpackage py3-litex-hub-pythondata-cpu-ibex... >>> WARNING: py3-litex-hub-pythondata-cpu-ibex*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-ibex*: Running postcheck for py3-litex-hub-pythondata-cpu-ibex >>> py3-litex-hub-pythondata-cpu-lm32*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_lm32' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-lm32/usr/lib/python3.11/site-packages/pythondata_cpu_lm32' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_lm32-0.0.post106.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-lm32/usr/lib/python3.11/site-packages/pythondata_cpu_lm32-0.0.post106.dist-info' >>> py3-litex-hub-pythondata-cpu-lm32*: Preparing subpackage py3-litex-hub-pythondata-cpu-lm32... >>> WARNING: py3-litex-hub-pythondata-cpu-lm32*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-lm32*: Running postcheck for py3-litex-hub-pythondata-cpu-lm32 >>> py3-litex-hub-pythondata-cpu-marocchino*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-marocchino/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino-0.0.post209.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-marocchino/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino-0.0.post209.dist-info' >>> py3-litex-hub-pythondata-cpu-marocchino*: Preparing subpackage py3-litex-hub-pythondata-cpu-marocchino... >>> WARNING: py3-litex-hub-pythondata-cpu-marocchino*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-marocchino*: Running postcheck for py3-litex-hub-pythondata-cpu-marocchino >>> py3-litex-hub-pythondata-cpu-microwatt*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-microwatt/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt-0.0.post1409.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-microwatt/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt-0.0.post1409.dist-info' >>> py3-litex-hub-pythondata-cpu-microwatt*: Preparing subpackage py3-litex-hub-pythondata-cpu-microwatt... >>> py3-litex-hub-pythondata-cpu-microwatt*: Running postcheck for py3-litex-hub-pythondata-cpu-microwatt >>> py3-litex-hub-pythondata-cpu-minerva*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-minerva/usr/lib/python3.11/site-packages/pythondata_cpu_minerva' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva-0.0.post262.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-minerva/usr/lib/python3.11/site-packages/pythondata_cpu_minerva-0.0.post262.dist-info' >>> py3-litex-hub-pythondata-cpu-minerva*: Preparing subpackage py3-litex-hub-pythondata-cpu-minerva... >>> WARNING: py3-litex-hub-pythondata-cpu-minerva*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-minerva*: Running postcheck for py3-litex-hub-pythondata-cpu-minerva >>> py3-litex-hub-pythondata-cpu-mor1kx*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-mor1kx/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx-5.0.post125.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-mor1kx/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx-5.0.post125.dist-info' >>> py3-litex-hub-pythondata-cpu-mor1kx*: Preparing subpackage py3-litex-hub-pythondata-cpu-mor1kx... >>> WARNING: py3-litex-hub-pythondata-cpu-mor1kx*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-mor1kx*: Running postcheck for py3-litex-hub-pythondata-cpu-mor1kx >>> py3-litex-hub-pythondata-cpu-naxriscv*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-naxriscv/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv-1.0.1.post325.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-naxriscv/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv-1.0.1.post325.dist-info' >>> py3-litex-hub-pythondata-cpu-naxriscv*: Preparing subpackage py3-litex-hub-pythondata-cpu-naxriscv... >>> WARNING: py3-litex-hub-pythondata-cpu-naxriscv*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-naxriscv*: Running postcheck for py3-litex-hub-pythondata-cpu-naxriscv >>> py3-litex-hub-pythondata-cpu-picorv32*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-picorv32/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32-1.0.post88.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-picorv32/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32-1.0.post88.dist-info' >>> py3-litex-hub-pythondata-cpu-picorv32*: Preparing subpackage py3-litex-hub-pythondata-cpu-picorv32... >>> WARNING: py3-litex-hub-pythondata-cpu-picorv32*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-picorv32*: Running postcheck for py3-litex-hub-pythondata-cpu-picorv32 >>> py3-litex-hub-pythondata-cpu-rocket*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_rocket' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-rocket/usr/lib/python3.11/site-packages/pythondata_cpu_rocket' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_rocket-0.0.post7053.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-rocket/usr/lib/python3.11/site-packages/pythondata_cpu_rocket-0.0.post7053.dist-info' >>> py3-litex-hub-pythondata-cpu-rocket*: Preparing subpackage py3-litex-hub-pythondata-cpu-rocket... >>> WARNING: py3-litex-hub-pythondata-cpu-rocket*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-rocket*: Running postcheck for py3-litex-hub-pythondata-cpu-rocket >>> py3-litex-hub-pythondata-cpu-serv*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-serv/usr/lib/python3.11/site-packages/pythondata_cpu_serv' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv-1.0.post66.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-serv/usr/lib/python3.11/site-packages/pythondata_cpu_serv-1.0.post66.dist-info' >>> py3-litex-hub-pythondata-cpu-serv*: Preparing subpackage py3-litex-hub-pythondata-cpu-serv... >>> WARNING: py3-litex-hub-pythondata-cpu-serv*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-serv*: Running postcheck for py3-litex-hub-pythondata-cpu-serv >>> py3-litex-hub-pythondata-cpu-vexriscv*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-vexriscv/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv-1.0.1.post314.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-vexriscv/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv-1.0.1.post314.dist-info' >>> py3-litex-hub-pythondata-cpu-vexriscv*: Preparing subpackage py3-litex-hub-pythondata-cpu-vexriscv... >>> WARNING: py3-litex-hub-pythondata-cpu-vexriscv*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-vexriscv*: Running postcheck for py3-litex-hub-pythondata-cpu-vexriscv >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-vexriscv_smp/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-vexriscv_smp/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info' >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Preparing subpackage py3-litex-hub-pythondata-cpu-vexriscv_smp... >>> WARNING: py3-litex-hub-pythondata-cpu-vexriscv_smp*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Running postcheck for py3-litex-hub-pythondata-cpu-vexriscv_smp >>> py3-litex-hub-pythondata-misc-tapcfg*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-misc-tapcfg/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg-0.0.post424.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-misc-tapcfg/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg-0.0.post424.dist-info' >>> py3-litex-hub-pythondata-misc-tapcfg*: Preparing subpackage py3-litex-hub-pythondata-misc-tapcfg... >>> WARNING: py3-litex-hub-pythondata-misc-tapcfg*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-misc-tapcfg*: Running postcheck for py3-litex-hub-pythondata-misc-tapcfg >>> py3-litex-hub-pythondata-software-compiler_rt*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-software-compiler_rt/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt-0.0.post6189.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-software-compiler_rt/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt-0.0.post6189.dist-info' >>> py3-litex-hub-pythondata-software-compiler_rt*: Preparing subpackage py3-litex-hub-pythondata-software-compiler_rt... >>> WARNING: py3-litex-hub-pythondata-software-compiler_rt*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-software-compiler_rt*: Running postcheck for py3-litex-hub-pythondata-software-compiler_rt >>> py3-litex-hub-pythondata-software-picolibc*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_picolibc' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-software-picolibc/usr/lib/python3.11/site-packages/pythondata_software_picolibc' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_picolibc-1.7.9.post181.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-software-picolibc/usr/lib/python3.11/site-packages/pythondata_software_picolibc-1.7.9.post181.dist-info' >>> py3-litex-hub-pythondata-software-picolibc*: Preparing subpackage py3-litex-hub-pythondata-software-picolibc... >>> WARNING: py3-litex-hub-pythondata-software-picolibc*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-software-picolibc*: Running postcheck for py3-litex-hub-pythondata-software-picolibc >>> py3-litex-hub-valentyusb*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-valentyusb/usr/lib/python3.11/site-packages/valentyusb' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb-0.0.0.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-valentyusb/usr/lib/python3.11/site-packages/valentyusb-0.0.0.dist-info' >>> py3-litex-hub-valentyusb*: Preparing subpackage py3-litex-hub-valentyusb... >>> WARNING: py3-litex-hub-valentyusb*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-valentyusb*: Running postcheck for py3-litex-hub-valentyusb >>> py3-litex-hub-modules*: Running postcheck for py3-litex-hub-modules >>> py3-litex-hub-modules*: Preparing package py3-litex-hub-modules... >>> WARNING: py3-litex-hub-modules*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-modules-pyc*: Scanning shared objects >>> py3-litex-hub-modules*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-blackparrot*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-cva5*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-cva6*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-ibex*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-lm32*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-marocchino*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-microwatt*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-minerva*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-mor1kx*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-naxriscv*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-picorv32*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-rocket*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-serv*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-vexriscv*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Scanning shared objects >>> py3-litex-hub-pythondata-misc-tapcfg*: Scanning shared objects >>> py3-litex-hub-pythondata-software-compiler_rt*: Scanning shared objects >>> py3-litex-hub-pythondata-software-picolibc*: Scanning shared objects >>> py3-litex-hub-valentyusb*: Scanning shared objects >>> py3-litex-hub-modules-pyc*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-modules-pyc*: Package size: 5.9 MB >>> py3-litex-hub-modules-pyc*: Compressing data... >>> py3-litex-hub-modules-pyc*: Create checksum... >>> py3-litex-hub-modules-pyc*: Create py3-litex-hub-modules-pyc-2023.12-r3.apk >>> py3-litex-hub-modules*: Tracing dependencies... >>> py3-litex-hub-modules*: Package size: 4.0 KB >>> py3-litex-hub-modules*: Compressing data... >>> py3-litex-hub-modules*: Create checksum... >>> py3-litex-hub-modules*: Create py3-litex-hub-modules-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-blackparrot*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-blackparrot*: Package size: 19.3 MB >>> py3-litex-hub-pythondata-cpu-blackparrot*: Compressing data... >>> py3-litex-hub-pythondata-cpu-blackparrot*: Create checksum... >>> py3-litex-hub-pythondata-cpu-blackparrot*: Create py3-litex-hub-pythondata-cpu-blackparrot-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Package size: 7.9 MB >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Compressing data... >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Create checksum... >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Create py3-litex-hub-pythondata-cpu-cv32e40p-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Package size: 3.5 MB >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Compressing data... >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Create checksum... >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Create py3-litex-hub-pythondata-cpu-cv32e41p-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-cva5*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-cva5*: Package size: 2.4 MB >>> py3-litex-hub-pythondata-cpu-cva5*: Compressing data... >>> py3-litex-hub-pythondata-cpu-cva5*: Create checksum... >>> py3-litex-hub-pythondata-cpu-cva5*: Create py3-litex-hub-pythondata-cpu-cva5-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-cva6*: Tracing dependencies... >>> ERROR: py3-litex-hub-pythondata-cpu-cva6*: libc.so.6: path not found python3 python3~3.11 so:libgcc_s.so.1 so:libstdc++.so.6 >>> py3-litex-hub-pythondata-cpu-cva6*: Package size: 19.1 MB >>> py3-litex-hub-pythondata-cpu-cva6*: Compressing data... >>> py3-litex-hub-pythondata-cpu-cva6*: Create checksum... >>> py3-litex-hub-pythondata-cpu-cva6*: Create py3-litex-hub-pythondata-cpu-cva6-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-ibex*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-ibex*: Package size: 11.6 MB >>> py3-litex-hub-pythondata-cpu-ibex*: Compressing data... >>> py3-litex-hub-pythondata-cpu-ibex*: Create checksum... >>> py3-litex-hub-pythondata-cpu-ibex*: Create py3-litex-hub-pythondata-cpu-ibex-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-lm32*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-lm32*: Package size: 868.0 KB >>> py3-litex-hub-pythondata-cpu-lm32*: Compressing data... >>> py3-litex-hub-pythondata-cpu-lm32*: Create checksum... >>> py3-litex-hub-pythondata-cpu-lm32*: Create py3-litex-hub-pythondata-cpu-lm32-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-marocchino*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-marocchino*: Package size: 1.2 MB >>> py3-litex-hub-pythondata-cpu-marocchino*: Compressing data... >>> py3-litex-hub-pythondata-cpu-marocchino*: Create checksum... >>> py3-litex-hub-pythondata-cpu-marocchino*: Create py3-litex-hub-pythondata-cpu-marocchino-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-microwatt*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-microwatt*: Package size: 145.6 MB >>> py3-litex-hub-pythondata-cpu-microwatt*: Compressing data... >>> py3-litex-hub-pythondata-cpu-microwatt*: Create checksum... >>> py3-litex-hub-pythondata-cpu-microwatt*: Create py3-litex-hub-pythondata-cpu-microwatt-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-minerva*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-minerva*: Package size: 348.0 KB >>> py3-litex-hub-pythondata-cpu-minerva*: Compressing data... >>> py3-litex-hub-pythondata-cpu-minerva*: Create checksum... >>> py3-litex-hub-pythondata-cpu-minerva*: Create py3-litex-hub-pythondata-cpu-minerva-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-mor1kx*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-mor1kx*: Package size: 1.1 MB >>> py3-litex-hub-pythondata-cpu-mor1kx*: Compressing data... >>> py3-litex-hub-pythondata-cpu-mor1kx*: Create checksum... >>> py3-litex-hub-pythondata-cpu-mor1kx*: Create py3-litex-hub-pythondata-cpu-mor1kx-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-naxriscv*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-naxriscv*: Package size: 104.0 KB >>> py3-litex-hub-pythondata-cpu-naxriscv*: Compressing data... >>> py3-litex-hub-pythondata-cpu-naxriscv*: Create checksum... >>> py3-litex-hub-pythondata-cpu-naxriscv*: Create py3-litex-hub-pythondata-cpu-naxriscv-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-picorv32*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-picorv32*: Package size: 1.5 MB >>> py3-litex-hub-pythondata-cpu-picorv32*: Compressing data... >>> py3-litex-hub-pythondata-cpu-picorv32*: Create checksum... >>> py3-litex-hub-pythondata-cpu-picorv32*: Create py3-litex-hub-pythondata-cpu-picorv32-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-rocket*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-rocket*: Package size: 126.3 MB >>> py3-litex-hub-pythondata-cpu-rocket*: Compressing data... >>> py3-litex-hub-pythondata-cpu-rocket*: Create checksum... >>> py3-litex-hub-pythondata-cpu-rocket*: Create py3-litex-hub-pythondata-cpu-rocket-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-serv*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-serv*: Package size: 528.0 KB >>> py3-litex-hub-pythondata-cpu-serv*: Compressing data... >>> py3-litex-hub-pythondata-cpu-serv*: Create checksum... >>> py3-litex-hub-pythondata-cpu-serv*: Create py3-litex-hub-pythondata-cpu-serv-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-vexriscv*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-vexriscv*: Package size: 2.7 MB >>> py3-litex-hub-pythondata-cpu-vexriscv*: Compressing data... >>> py3-litex-hub-pythondata-cpu-vexriscv*: Create checksum... >>> py3-litex-hub-pythondata-cpu-vexriscv*: Create py3-litex-hub-pythondata-cpu-vexriscv-2023.12-r3.apk >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Package size: 28.0 MB >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Compressing data... >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Create checksum... >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Create py3-litex-hub-pythondata-cpu-vexriscv_smp-2023.12-r3.apk >>> py3-litex-hub-pythondata-misc-tapcfg*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-misc-tapcfg*: Package size: 340.0 KB >>> py3-litex-hub-pythondata-misc-tapcfg*: Compressing data... >>> py3-litex-hub-pythondata-misc-tapcfg*: Create checksum... >>> py3-litex-hub-pythondata-misc-tapcfg*: Create py3-litex-hub-pythondata-misc-tapcfg-2023.12-r3.apk >>> py3-litex-hub-pythondata-software-compiler_rt*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-software-compiler_rt*: Package size: 32.6 MB >>> py3-litex-hub-pythondata-software-compiler_rt*: Compressing data... >>> py3-litex-hub-pythondata-software-compiler_rt*: Create checksum... >>> py3-litex-hub-pythondata-software-compiler_rt*: Create py3-litex-hub-pythondata-software-compiler_rt-2023.12-r3.apk >>> py3-litex-hub-pythondata-software-picolibc*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-software-picolibc*: Package size: 28.7 MB >>> py3-litex-hub-pythondata-software-picolibc*: Compressing data... >>> py3-litex-hub-pythondata-software-picolibc*: Create checksum... >>> py3-litex-hub-pythondata-software-picolibc*: Create py3-litex-hub-pythondata-software-picolibc-2023.12-r3.apk >>> py3-litex-hub-valentyusb*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-valentyusb*: Package size: 688.0 KB >>> py3-litex-hub-valentyusb*: Compressing data... >>> py3-litex-hub-valentyusb*: Create checksum... >>> py3-litex-hub-valentyusb*: Create py3-litex-hub-valentyusb-2023.12-r3.apk >>> py3-litex-hub-modules: Build complete at Wed, 06 Mar 2024 23:05:51 +0000 elapsed time 0h 3m 58s >>> py3-litex-hub-modules: Cleaning up srcdir >>> py3-litex-hub-modules: Cleaning up pkgdir >>> py3-litex-hub-modules: Uninstalling dependencies... (1/25) Purging .makedepends-py3-litex-hub-modules (20240306.230200) (2/25) Purging py3-setuptools-pyc (69.1.1-r0) (3/25) Purging py3-setuptools (69.1.1-r0) (4/25) Purging py3-gpep517-pyc (15-r1) (5/25) Purging py3-gpep517 (15-r1) (6/25) Purging py3-wheel-pyc (0.42.0-r0) (7/25) Purging py3-wheel (0.42.0-r0) (8/25) Purging py3-packaging-pyc (23.2-r0) (9/25) Purging py3-packaging (23.2-r0) (10/25) Purging py3-parsing-pyc (3.1.1-r0) (11/25) Purging py3-parsing (3.1.1-r0) (12/25) Purging py3-installer-pyc (0.7.0-r1) (13/25) Purging py3-installer (0.7.0-r1) (14/25) Purging python3-pyc (3.11.8-r0) (15/25) Purging python3-pycache-pyc0 (3.11.8-r0) (16/25) Purging pyc (3.11.8-r0) (17/25) Purging python3 (3.11.8-r0) (18/25) Purging gdbm (1.23-r1) (19/25) Purging libbz2 (1.0.8-r6) (20/25) Purging libffi (3.4.6-r0) (21/25) Purging libpanelw (6.4_p20231125-r0) (22/25) Purging mpdecimal (4.0.0-r0) (23/25) Purging readline (8.2.10-r0) (24/25) Purging sqlite-libs (3.45.1-r0) (25/25) Purging xz-libs (5.4.6-r0) Executing busybox-1.36.1-r20.trigger OK: 228 MiB in 101 packages >>> py3-litex-hub-modules: Updating the testing/riscv64 repository index... >>> py3-litex-hub-modules: Signing the index...