>>> yosys: Building testing/yosys 0.30-r1 (using abuild 3.11.20-r0) started Mon, 03 Jul 2023 03:13:57 +0000 >>> yosys: Checking sanity of /home/buildozer/aports/testing/yosys/APKBUILD... >>> yosys: Analyzing dependencies... >>> yosys: Installing for build: build-base bash bison boost-dev clang flex gawk graphviz-dev libffi-dev lld protobuf-dev python3 readline-dev tcl-dev zlib-dev (1/298) Installing readline (8.2.1-r2) (2/298) Installing bash (5.2.15-r5) Executing bash-5.2.15-r5.post-install (3/298) Installing m4 (1.4.19-r3) (4/298) Installing bison (3.8.2-r1) (5/298) Installing boost1.82-atomic (1.82.0-r2) (6/298) Installing boost1.82-chrono (1.82.0-r2) (7/298) Installing boost1.82-container (1.82.0-r2) (8/298) Installing boost1.82-context (1.82.0-r2) (9/298) Installing boost1.82-contract (1.82.0-r2) (10/298) Installing boost1.82-coroutine (1.82.0-r2) (11/298) Installing boost1.82-date_time (1.82.0-r2) (12/298) Installing boost1.82-fiber (1.82.0-r2) (13/298) Installing boost1.82-filesystem (1.82.0-r2) (14/298) Installing boost1.82-graph (1.82.0-r2) (15/298) Installing boost1.82-iostreams (1.82.0-r2) (16/298) Installing boost1.82-thread (1.82.0-r2) (17/298) Installing icu-data-en (73.2-r1) Executing icu-data-en-73.2-r1.post-install * * If you need ICU with non-English locales and legacy charset support, install * package icu-data-full. * (18/298) Installing icu-libs (73.2-r1) (19/298) Installing boost1.82-locale (1.82.0-r2) (20/298) Installing boost1.82-log (1.82.0-r2) (21/298) Installing boost1.82-log_setup (1.82.0-r2) (22/298) Installing boost1.82-math (1.82.0-r2) (23/298) Installing boost1.82-prg_exec_monitor (1.82.0-r2) (24/298) Installing boost1.82-program_options (1.82.0-r2) (25/298) Installing libffi (3.4.4-r3) (26/298) Installing gdbm (1.23-r1) (27/298) Installing mpdecimal (2.5.1-r2) (28/298) Installing libpanelw (6.4_p20230701-r0) (29/298) Installing sqlite-libs (3.42.0-r2) (30/298) Installing python3 (3.11.4-r1) (31/298) Installing python3-pycache-pyc0 (3.11.4-r1) (32/298) Installing pyc (0.1-r0) (33/298) Installing python3-pyc (3.11.4-r1) (34/298) Installing boost1.82-python3 (1.82.0-r2) (35/298) Installing boost1.82-random (1.82.0-r2) (36/298) Installing boost1.82-regex (1.82.0-r2) (37/298) Installing boost1.82-serialization (1.82.0-r2) (38/298) Installing boost1.82-stacktrace_basic (1.82.0-r2) (39/298) Installing boost1.82-stacktrace_noop (1.82.0-r2) (40/298) Installing boost1.82-system (1.82.0-r2) (41/298) Installing boost1.82-timer (1.82.0-r2) (42/298) Installing boost1.82-type_erasure (1.82.0-r2) (43/298) Installing boost1.82-unit_test_framework (1.82.0-r2) (44/298) Installing boost1.82-url (1.82.0-r2) (45/298) Installing boost1.82-wave (1.82.0-r2) (46/298) Installing boost1.82-wserialization (1.82.0-r2) (47/298) Installing boost1.82-json (1.82.0-r2) (48/298) Installing boost1.82-nowide (1.82.0-r2) (49/298) Installing boost1.82-libs (1.82.0-r2) (50/298) Installing boost1.82 (1.82.0-r2) (51/298) Installing linux-headers (6.4-r0) (52/298) Installing bzip2-dev (1.0.8-r6) (53/298) Installing icu (73.2-r1) (54/298) Installing icu-dev (73.2-r1) (55/298) Installing xz (5.4.3-r1) (56/298) Installing xz-dev (5.4.3-r1) (57/298) Installing zlib-dev (1.2.13-r2) (58/298) Installing zstd (1.5.5-r5) (59/298) Installing zstd-dev (1.5.5-r5) (60/298) Installing boost1.82-dev (1.82.0-r2) (61/298) Installing boost-dev (1.82.0-r2) (62/298) Installing clang16-headers (16.0.6-r2) (63/298) Installing libxml2 (2.11.4-r0) (64/298) Installing llvm16-libs (16.0.6-r3) (65/298) Installing clang16-libs (16.0.6-r2) (66/298) Installing llvm16-linker-tools (16.0.6-r3) (67/298) Installing clang16 (16.0.6-r2) (68/298) Installing flex (2.6.4-r6) (69/298) Installing gawk (5.2.2-r0) (70/298) Installing cairo-tools (1.17.8-r2) (71/298) Installing libpng (1.6.40-r0) (72/298) Installing freetype (2.13.1-r0) (73/298) Installing fontconfig (2.14.2-r4) (74/298) Installing expat (2.5.0-r2) (75/298) Installing expat-dev (2.5.0-r2) (76/298) Installing brotli (1.0.9-r15) (77/298) Installing brotli-dev (1.0.9-r15) (78/298) Installing libpng-dev (1.6.40-r0) (79/298) Installing freetype-dev (2.13.1-r0) (80/298) Installing fontconfig-dev (2.14.2-r4) (81/298) Installing libxau (1.0.11-r3) (82/298) Installing xorgproto (2023.2-r0) (83/298) Installing libxau-dev (1.0.11-r3) (84/298) Installing libmd (1.1.0-r0) (85/298) Installing libbsd (0.11.7-r2) (86/298) Installing libxdmcp (1.1.4-r3) (87/298) Installing libxcb (1.15-r3) (88/298) Installing libx11 (1.8.6-r0) (89/298) Installing libxext (1.3.5-r3) (90/298) Installing xcb-proto (1.15.2-r2) (91/298) Installing xcb-proto-pyc (1.15.2-r2) (92/298) Installing libxdmcp-dev (1.1.4-r3) (93/298) Installing libxcb-dev (1.15-r3) (94/298) Installing xtrans (1.5.0-r0) (95/298) Installing libx11-dev (1.8.6-r0) (96/298) Installing libxext-dev (1.3.5-r3) (97/298) Installing libxrender (0.9.11-r4) (98/298) Installing libxrender-dev (0.9.11-r4) (99/298) Installing pixman (0.42.2-r2) (100/298) Installing pixman-dev (0.42.2-r2) (101/298) Installing util-macros (1.20.0-r0) (102/298) Installing xcb-util (0.4.1-r3) (103/298) Installing xcb-util-dev (0.4.1-r3) (104/298) Installing cairo (1.17.8-r2) (105/298) Installing libintl (0.22-r0) (106/298) Installing libblkid (2.39.1-r0) (107/298) Installing libmount (2.39.1-r0) (108/298) Installing glib (2.76.3-r0) (109/298) Installing cairo-gobject (1.17.8-r2) (110/298) Installing libxml2-utils (2.11.4-r0) (111/298) Installing docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-install (112/298) Installing libgpg-error (1.47-r2) (113/298) Installing libgcrypt (1.10.2-r2) (114/298) Installing libxslt (1.1.38-r1) (115/298) Installing docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-install (116/298) Installing gettext-asprintf (0.22-r0) (117/298) Installing gettext-libs (0.22-r0) (118/298) Installing gettext-envsubst (0.22-r0) (119/298) Installing gettext (0.22-r0) (120/298) Installing gettext-dev (0.22-r0) (121/298) Installing libffi-dev (3.4.4-r3) (122/298) Installing bsd-compat-headers (0.7.2-r5) (123/298) Installing libformw (6.4_p20230701-r0) (124/298) Installing libmenuw (6.4_p20230701-r0) (125/298) Installing libncurses++ (6.4_p20230701-r0) (126/298) Installing ncurses-dev (6.4_p20230701-r0) (127/298) Installing libedit-dev (20221030.3.1-r2) (128/298) Installing libpcre2-16 (10.42-r1) (129/298) Installing libpcre2-32 (10.42-r1) (130/298) Installing pcre2-dev (10.42-r1) (131/298) Installing libuuid (2.39.1-r0) (132/298) Installing libfdisk (2.39.1-r0) (133/298) Installing libsmartcols (2.39.1-r0) (134/298) Installing util-linux-dev (2.39.1-r0) (135/298) Installing glib-dev (2.76.3-r0) (136/298) Installing cairo-dev (1.17.8-r2) (137/298) Installing libice (1.1.1-r5) (138/298) Installing libsm (1.2.4-r3) (139/298) Installing libxt (1.3.0-r4) (140/298) Installing libxpm (3.5.16-r1) (141/298) Installing aom-libs (3.6.1-r0) (142/298) Installing libdav1d (1.2.1-r0) (143/298) Installing libsharpyuv (1.3.1-r0) (144/298) Installing libavif (0.11.1-r2) (145/298) Installing libjpeg-turbo (2.1.5.1-r4) (146/298) Installing libwebp (1.3.1-r0) (147/298) Installing tiff (4.5.1-r0) (148/298) Installing libgd (2.3.3-r7) (149/298) Installing gd (2.3.3-r7) (150/298) Installing perl (5.36.1-r2) (151/298) Installing libavif-dev (0.11.1-r2) (152/298) Installing libjpeg-turbo-dev (2.1.5.1-r4) (153/298) Installing libtiffxx (4.5.1-r0) (154/298) Installing libwebpdecoder (1.3.1-r0) (155/298) Installing libwebpdemux (1.3.1-r0) (156/298) Installing libwebpmux (1.3.1-r0) (157/298) Installing libwebp-dev (1.3.1-r0) (158/298) Installing tiff-dev (4.5.1-r0) (159/298) Installing libxpm-dev (3.5.16-r1) (160/298) Installing gd-dev (2.3.3-r7) (161/298) Installing libgmpxx (6.2.1-r3) (162/298) Installing gmp-dev (6.2.1-r3) (163/298) Installing libice-dev (1.1.1-r5) (164/298) Installing libsm-dev (1.2.4-r3) (165/298) Installing libxft (2.3.8-r2) (166/298) Installing graphite2 (1.3.14-r6) (167/298) Installing harfbuzz (7.3.0-r1) (168/298) Installing fribidi (1.0.13-r0) (169/298) Installing pango (1.50.14-r1) (170/298) Installing pango-tools (1.50.14-r1) (171/298) Installing fribidi-dev (1.0.13-r0) (172/298) Installing harfbuzz-cairo (7.3.0-r1) (173/298) Installing harfbuzz-gobject (7.3.0-r1) (174/298) Installing harfbuzz-icu (7.3.0-r1) (175/298) Installing harfbuzz-subset (7.3.0-r1) (176/298) Installing graphite2-dev (1.3.14-r6) (177/298) Installing harfbuzz-dev (7.3.0-r1) (178/298) Installing libxft-dev (2.3.8-r2) (179/298) Installing pango-dev (1.50.14-r1) (180/298) Installing python3-dev (3.11.4-r1) (181/298) Installing graphviz-libs (8.0.5-r2) (182/298) Installing graphviz-dev (8.0.5-r2) (183/298) Installing lld-libs (16.0.6-r1) (184/298) Installing scudo-malloc (16.0.6-r0) (185/298) Installing lld (16.0.6-r1) (186/298) Installing abseil-cpp-raw-logging-internal (20230125.3-r2) (187/298) Installing abseil-cpp-log-internal-globals (20230125.3-r2) (188/298) Installing abseil-cpp-time-zone (20230125.3-r2) (189/298) Installing abseil-cpp-log-initialize (20230125.3-r2) (190/298) Installing abseil-cpp-city (20230125.3-r2) (191/298) Installing abseil-cpp-low-level-hash (20230125.3-r2) (192/298) Installing abseil-cpp-hash (20230125.3-r2) (193/298) Installing abseil-cpp-log-internal-nullguard (20230125.3-r2) (194/298) Installing abseil-cpp-int128 (20230125.3-r2) (195/298) Installing abseil-cpp-strings-internal (20230125.3-r2) (196/298) Installing abseil-cpp-strings (20230125.3-r2) (197/298) Installing abseil-cpp-log-internal-check-op (20230125.3-r2) (198/298) Installing abseil-cpp-spinlock-wait (20230125.3-r2) (199/298) Installing abseil-cpp-base (20230125.3-r2) (200/298) Installing abseil-cpp-log-internal-conditions (20230125.3-r2) (201/298) Installing abseil-cpp-debugging-internal (20230125.3-r2) (202/298) Installing abseil-cpp-stacktrace (20230125.3-r2) (203/298) Installing abseil-cpp-symbolize (20230125.3-r2) (204/298) Installing abseil-cpp-examine-stack (20230125.3-r2) (205/298) Installing abseil-cpp-log-globals (20230125.3-r2) (206/298) Installing abseil-cpp-str-format-internal (20230125.3-r2) (207/298) Installing abseil-cpp-time (20230125.3-r2) (208/298) Installing abseil-cpp-log-internal-format (20230125.3-r2) (209/298) Installing abseil-cpp-log-sink (20230125.3-r2) (210/298) Installing abseil-cpp-malloc-internal (20230125.3-r2) (211/298) Installing abseil-cpp-synchronization (20230125.3-r2) (212/298) Installing abseil-cpp-log-internal-log-sink-set (20230125.3-r2) (213/298) Installing abseil-cpp-log-internal-proto (20230125.3-r2) (214/298) Installing abseil-cpp-strerror (20230125.3-r2) (215/298) Installing abseil-cpp-log-internal-message (20230125.3-r2) (216/298) Installing abseil-cpp-raw-hash-set (20230125.3-r2) (217/298) Installing abseil-cpp-crc-internal (20230125.3-r2) (218/298) Installing abseil-cpp-crc32c (20230125.3-r2) (219/298) Installing abseil-cpp-crc-cord-state (20230125.3-r2) (220/298) Installing abseil-cpp-throw-delegate (20230125.3-r2) (221/298) Installing abseil-cpp-cord-internal (20230125.3-r2) (222/298) Installing abseil-cpp-exponential-biased (20230125.3-r2) (223/298) Installing abseil-cpp-cordz-functions (20230125.3-r2) (224/298) Installing abseil-cpp-cordz-handle (20230125.3-r2) (225/298) Installing abseil-cpp-cordz-info (20230125.3-r2) (226/298) Installing abseil-cpp-cord (20230125.3-r2) (227/298) Installing abseil-cpp-status (20230125.3-r2) (228/298) Installing abseil-cpp-statusor (20230125.3-r2) (229/298) Installing abseil-cpp-die-if-null (20230125.3-r2) (230/298) Installing libprotobuf (23.3-r0) (231/298) Installing libprotoc (23.3-r0) (232/298) Installing protoc (23.3-r0) (233/298) Installing libprotobuf-lite (23.3-r0) (234/298) Installing abseil-cpp-atomic-hook-test-helper (20230125.3-r2) (235/298) Installing abseil-cpp-bad-any-cast-impl (20230125.3-r2) (236/298) Installing abseil-cpp-bad-optional-access (20230125.3-r2) (237/298) Installing abseil-cpp-bad-variant-access (20230125.3-r2) (238/298) Installing abseil-cpp-civil-time (20230125.3-r2) (239/298) Installing abseil-cpp-cordz-sample-token (20230125.3-r2) (240/298) Installing abseil-cpp-crc-cpu-detect (20230125.3-r2) (241/298) Installing abseil-cpp-demangle-internal (20230125.3-r2) (242/298) Installing gtest (1.13.0-r1) (243/298) Installing abseil-cpp-exception-safety-testing (20230125.3-r2) (244/298) Installing abseil-cpp-failure-signal-handler (20230125.3-r2) (245/298) Installing abseil-cpp-flags-commandlineflag-internal (20230125.3-r2) (246/298) Installing abseil-cpp-flags-commandlineflag (20230125.3-r2) (247/298) Installing abseil-cpp-flags-program-name (20230125.3-r2) (248/298) Installing abseil-cpp-flags-config (20230125.3-r2) (249/298) Installing abseil-cpp-flags-internal (20230125.3-r2) (250/298) Installing abseil-cpp-flags-marshalling (20230125.3-r2) (251/298) Installing abseil-cpp-flags-private-handle-accessor (20230125.3-r2) (252/298) Installing abseil-cpp-flags-reflection (20230125.3-r2) (253/298) Installing abseil-cpp-flags-usage (20230125.3-r2) (254/298) Installing abseil-cpp-flags-usage-internal (20230125.3-r2) (255/298) Installing abseil-cpp-flags-parse (20230125.3-r2) (256/298) Installing abseil-cpp-flags (20230125.3-r2) (257/298) Installing abseil-cpp-graphcycles-internal (20230125.3-r2) (258/298) Installing abseil-cpp-hash-generator-testing (20230125.3-r2) (259/298) Installing abseil-cpp-hashtablez-sampler (20230125.3-r2) (260/298) Installing abseil-cpp-leak-check (20230125.3-r2) (261/298) Installing abseil-cpp-log-entry (20230125.3-r2) (262/298) Installing abseil-cpp-log-flags (20230125.3-r2) (263/298) Installing abseil-cpp-log-severity (20230125.3-r2) (264/298) Installing abseil-cpp-log-internal-test-actions (20230125.3-r2) (265/298) Installing abseil-cpp-log-internal-test-helpers (20230125.3-r2) (266/298) Installing abseil-cpp-log-internal-test-matchers (20230125.3-r2) (267/298) Installing abseil-cpp-per-thread-sem-test-common (20230125.3-r2) (268/298) Installing abseil-cpp-periodic-sampler (20230125.3-r2) (269/298) Installing abseil-cpp-pow10-helper (20230125.3-r2) (270/298) Installing abseil-cpp-random-distributions (20230125.3-r2) (271/298) Installing abseil-cpp-random-internal-distribution-test-util (20230125.3-r2) (272/298) Installing abseil-cpp-random-internal-platform (20230125.3-r2) (273/298) Installing abseil-cpp-random-internal-randen-slow (20230125.3-r2) (274/298) Installing abseil-cpp-random-internal-randen (20230125.3-r2) (275/298) Installing abseil-cpp-random-internal-seed-material (20230125.3-r2) (276/298) Installing abseil-cpp-random-seed-gen-exception (20230125.3-r2) (277/298) Installing abseil-cpp-random-internal-pool-urbg (20230125.3-r2) (278/298) Installing abseil-cpp-random-internal-randen-hwaes-impl (20230125.3-r2) (279/298) Installing abseil-cpp-random-internal-randen-hwaes (20230125.3-r2) (280/298) Installing abseil-cpp-random-seed-sequences (20230125.3-r2) (281/298) Installing gmock (1.13.0-r1) (282/298) Installing abseil-cpp-scoped-mock-log (20230125.3-r2) (283/298) Installing abseil-cpp-scoped-set-env (20230125.3-r2) (284/298) Installing abseil-cpp-spinlock-test-common (20230125.3-r2) (285/298) Installing abseil-cpp-stack-consumption (20230125.3-r2) (286/298) Installing abseil-cpp-test-instance-tracker (20230125.3-r2) (287/298) Installing abseil-cpp-time-internal-test-util (20230125.3-r2) (288/298) Installing abseil-cpp-dev (20230125.3-r2) (289/298) Installing protobuf-dev (23.3-r0) (290/298) Installing libhistory (8.2.1-r2) (291/298) Installing readline-dev (8.2.1-r2) (292/298) Installing tzdata (2023c-r1) (293/298) Installing tcl (8.6.13-r1) (294/298) Installing tcl-dev (8.6.13-r1) (295/298) Installing .makedepends-yosys (20230703.031358) (296/298) Installing perl-error (0.17029-r1) (297/298) Installing perl-git (2.41.0-r0) (298/298) Installing git-perl (2.41.0-r0) Executing busybox-1.36.1-r2.trigger Executing glib-2.76.3-r0.trigger No schema files found: removed existing output file. OK: 1052 MiB in 408 packages >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Cleaning up tmpdir >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.30.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 1 2482k 1 32768 0 0 302k 0 0:00:08 --:--:-- 0:00:08 301k 100 2482k 100 2482k 0 0 12.8M 0 --:--:-- --:--:-- --:--:-- 12.8M >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.30-abc.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 5922k 100 5922k 0 0 24.7M 0 --:--:-- --:--:-- --:--:-- 24.8M >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.30.tar.gz >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.30-abc.tar.gz >>> yosys: Checking sha512sums... yosys-0.30.tar.gz: OK yosys-0.30-abc.tar.gz: OK >>> yosys: Unpacking /var/cache/distfiles/edge/yosys-0.30.tar.gz... >>> yosys: Unpacking /var/cache/distfiles/edge/yosys-0.30-abc.tar.gz... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.11 -lboost_python311 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 [ 0%] Building kernel/version_f7a8284c7b0.cc [ 0%] Building kernel/celltypes.pyh [ 0%] Building kernel/consteval.pyh [ 0%] Building kernel/log.pyh [ 0%] Building kernel/register.pyh [ 0%] Building kernel/rtlil.pyh [ 0%] Building kernel/sigtools.pyh [ 0%] Building kernel/yosys.pyh [ 0%] Building kernel/cost.pyh [ 0%] Building kernel/driver.o [ 0%] Building techlibs/common/simlib_help.inc [ 0%] Building techlibs/common/simcells_help.inc [ 1%] Building kernel/rtlil.o [ 1%] Building kernel/log.o [ 2%] Building kernel/calc.o [ 2%] Building kernel/yosys.o [ 2%] Building kernel/binding.o [ 3%] Building kernel/cellaigs.o [ 3%] Building kernel/celledges.o [ 3%] Building kernel/satgen.o [ 4%] Building kernel/qcsat.o [ 4%] Building kernel/mem.o [ 4%] Building kernel/ffmerge.o [ 5%] Building kernel/ff.o [ 5%] Building kernel/yw.o [ 5%] Building kernel/json.o [ 6%] Building kernel/fstdata.o [ 6%] Building libs/bigint/BigIntegerAlgorithms.o [ 6%] Building libs/bigint/BigInteger.o [ 7%] Building libs/bigint/BigIntegerUtils.o [ 7%] Building libs/bigint/BigUnsigned.o [ 7%] Building libs/bigint/BigUnsignedInABase.o [ 8%] Building libs/sha1/sha1.o [ 8%] Building libs/json11/json11.o [ 8%] Building libs/subcircuit/subcircuit.o [ 9%] Building libs/ezsat/ezsat.o [ 9%] Building libs/ezsat/ezminisat.o [ 9%] Building libs/minisat/Options.o [ 9%] Building libs/minisat/SimpSolver.o [ 10%] Building libs/minisat/Solver.o [ 10%] Building libs/minisat/System.o [ 10%] Building libs/fst/fstapi.o [ 11%] Building libs/fst/fastlz.o [ 11%] Building libs/fst/lz4.o [ 11%] Building frontends/aiger/aigerparse.o [ 12%] Building frontends/ast/ast.o [ 12%] Building frontends/ast/simplify.o [ 12%] Building frontends/ast/genrtlil.o [ 13%] Building frontends/ast/dpicall.o libs/fst/fstapi.cc:5539:18: warning: variable 'secnum' set but not used [-Wunused-but-set-variable] unsigned int secnum = 0; ^ [ 13%] Building frontends/ast/ast_binding.o [ 13%] Building frontends/blif/blifparse.o [ 14%] Building frontends/json/jsonparse.o [ 14%] Building frontends/liberty/liberty.o [ 14%] Building frontends/rpc/rpc_frontend.o [ 14%] Building frontends/rtlil/rtlil_parser.tab.cc [ 14%] Building frontends/rtlil/rtlil_lexer.cc [ 15%] Building frontends/rtlil/rtlil_frontend.o 1 warning generated. [ 16%] Building frontends/verific/verific.o [ 16%] Building frontends/verilog/verilog_parser.tab.cc [ 17%] Building frontends/verilog/preproc.o frontends/ast/simplify.cc:220:9: warning: format specifies type 'unsigned long' but the argument has type 'size_type' (aka 'unsigned int') [-Wformat] enum_item->children.size(), ^~~~~~~~~~~~~~~~~~~~~~~~~~ [ 17%] Building frontends/verilog/verilog_frontend.o [ 17%] Building frontends/verilog/const2ast.o [ 18%] Building passes/cmds/exec.o [ 18%] Building passes/cmds/add.o [ 18%] Building passes/cmds/delete.o [ 18%] Building passes/cmds/design.o [ 19%] Building passes/cmds/select.o [ 19%] Building passes/cmds/show.o [ 19%] Building passes/cmds/viz.o [ 20%] Building passes/cmds/rename.o [ 20%] Building passes/cmds/autoname.o [ 20%] Building passes/cmds/connect.o [ 21%] Building passes/cmds/scatter.o [ 21%] Building passes/cmds/setundef.o [ 21%] Building passes/cmds/splitnets.o [ 22%] Building passes/cmds/splitcells.o [ 22%] Building passes/cmds/stat.o [ 22%] Building passes/cmds/setattr.o [ 23%] Building passes/cmds/copy.o [ 23%] Building passes/cmds/splice.o [ 23%] Building passes/cmds/scc.o [ 24%] Building passes/cmds/glift.o [ 24%] Building passes/cmds/torder.o [ 24%] Building passes/cmds/logcmd.o [ 25%] Building passes/cmds/tee.o [ 25%] Building passes/cmds/write_file.o [ 25%] Building passes/cmds/connwrappers.o [ 26%] Building passes/cmds/cover.o [ 26%] Building passes/cmds/trace.o [ 26%] Building passes/cmds/plugin.o [ 27%] Building passes/cmds/check.o [ 27%] Building passes/cmds/qwp.o [ 27%] Building passes/cmds/edgetypes.o [ 27%] Building passes/cmds/portlist.o [ 28%] Building passes/cmds/chformal.o [ 28%] Building passes/cmds/chtype.o [ 28%] Building passes/cmds/blackbox.o [ 29%] Building passes/cmds/ltp.o [ 29%] Building passes/cmds/bugpoint.o [ 29%] Building passes/cmds/scratchpad.o [ 30%] Building passes/cmds/logger.o [ 30%] Building passes/cmds/printattrs.o [ 30%] Building passes/cmds/sta.o [ 31%] Building passes/cmds/clean_zerowidth.o [ 31%] Building passes/cmds/xprop.o [ 31%] Building passes/equiv/equiv_make.o [ 32%] Building passes/equiv/equiv_miter.o [ 32%] Building passes/equiv/equiv_simple.o [ 32%] Building passes/equiv/equiv_status.o [ 33%] Building passes/equiv/equiv_add.o [ 33%] Building passes/equiv/equiv_remove.o 1 warning generated. [ 33%] Building passes/equiv/equiv_induct.o [ 34%] Building passes/equiv/equiv_struct.o [ 34%] Building passes/equiv/equiv_purge.o [ 34%] Building passes/equiv/equiv_mark.o [ 35%] Building passes/equiv/equiv_opt.o [ 35%] Building passes/fsm/fsm.o [ 35%] Building passes/fsm/fsm_detect.o [ 36%] Building passes/fsm/fsm_extract.o [ 36%] Building passes/fsm/fsm_opt.o [ 36%] Building passes/fsm/fsm_expand.o [ 36%] Building passes/fsm/fsm_recode.o [ 37%] Building passes/fsm/fsm_info.o [ 37%] Building passes/fsm/fsm_export.o [ 37%] Building passes/fsm/fsm_map.o [ 38%] Building passes/hierarchy/hierarchy.o [ 38%] Building passes/hierarchy/uniquify.o [ 38%] Building passes/hierarchy/submod.o [ 39%] Building passes/memory/memory.o [ 39%] Building passes/memory/memory_dff.o [ 39%] Building passes/memory/memory_share.o [ 40%] Building passes/memory/memory_collect.o [ 40%] Building passes/memory/memory_unpack.o [ 40%] Building passes/memory/memory_bram.o [ 41%] Building passes/memory/memory_map.o [ 41%] Building passes/memory/memory_memx.o [ 41%] Building passes/memory/memory_nordff.o [ 42%] Building passes/memory/memory_narrow.o [ 42%] Building passes/memory/memory_libmap.o [ 42%] Building passes/memory/memory_bmux2rom.o [ 43%] Building passes/memory/memlib.o [ 43%] Building passes/opt/opt.o [ 43%] Building passes/opt/opt_merge.o [ 44%] Building passes/opt/opt_mem.o [ 44%] Building passes/opt/opt_mem_feedback.o [ 44%] Building passes/opt/opt_mem_priority.o [ 45%] Building passes/opt/opt_mem_widen.o [ 45%] Building passes/opt/opt_muxtree.o [ 45%] Building passes/opt/opt_reduce.o [ 45%] Building passes/opt/opt_dff.o [ 46%] Building passes/opt/opt_share.o [ 46%] Building passes/opt/opt_clean.o [ 46%] Building passes/opt/opt_expr.o [ 47%] Building passes/opt/share.o [ 47%] Building passes/opt/wreduce.o [ 47%] Building passes/opt/opt_demorgan.o [ 48%] Building passes/opt/rmports.o [ 48%] Building passes/opt/opt_lut.o [ 48%] Building passes/opt/opt_lut_ins.o [ 49%] Building passes/opt/opt_ffinv.o [ 49%] Building passes/opt/pmux2shiftx.o [ 49%] Building passes/opt/muxpack.o [ 49%] Building passes/pmgen/test_pmgen_pm.h [ 49%] Building passes/pmgen/ice40_dsp_pm.h [ 49%] Building passes/pmgen/peepopt_pm.h [ 49%] Building passes/pmgen/xilinx_srl_pm.h [ 50%] Building passes/pmgen/ice40_dsp.o [ 50%] Building passes/pmgen/ice40_wrapcarry_pm.h [ 50%] Building passes/pmgen/xilinx_dsp_pm.h [ 50%] Building passes/pmgen/xilinx_dsp48a_pm.h [ 50%] Building passes/pmgen/xilinx_dsp_CREG_pm.h [ 50%] Building passes/pmgen/xilinx_dsp_cascade_pm.h [ 51%] Building passes/pmgen/peepopt.o [ 51%] Building passes/pmgen/xilinx_srl.o [ 52%] Building passes/proc/proc.o [ 52%] Building passes/proc/proc_prune.o [ 52%] Building passes/proc/proc_clean.o [ 53%] Building passes/proc/proc_rmdead.o [ 53%] Building passes/proc/proc_init.o [ 53%] Building passes/proc/proc_arst.o [ 54%] Building passes/proc/proc_rom.o [ 54%] Building passes/proc/proc_mux.o [ 54%] Building passes/proc/proc_dlatch.o [ 54%] Building passes/proc/proc_dff.o [ 55%] Building passes/proc/proc_memwr.o [ 55%] Building passes/sat/sat.o [ 55%] Building passes/sat/freduce.o [ 56%] Building passes/sat/eval.o [ 56%] Building passes/sat/sim.o [ 56%] Building passes/sat/miter.o [ 57%] Building passes/sat/expose.o [ 57%] Building passes/sat/assertpmux.o [ 57%] Building passes/sat/clk2fflogic.o [ 58%] Building passes/sat/async2sync.o [ 58%] Building passes/sat/formalff.o [ 58%] Building passes/sat/supercover.o [ 59%] Building passes/sat/fmcombine.o [ 59%] Building passes/sat/mutate.o [ 59%] Building passes/sat/cutpoint.o [ 60%] Building passes/sat/fminit.o [ 60%] Building passes/sat/recover_names.o [ 60%] Building passes/sat/qbfsat.o [ 61%] Building passes/sat/synthprop.o [ 61%] Building passes/techmap/flatten.o [ 61%] Building passes/techmap/techmap.o [ 62%] Building passes/techmap/simplemap.o [ 62%] Building passes/techmap/dfflibmap.o [ 62%] Building passes/techmap/maccmap.o [ 63%] Building passes/techmap/libparse.o [ 63%] Building passes/techmap/abc.o [ 63%] Building passes/techmap/abc9.o passes/sat/recover_names.cc:633:51: warning: format specifies type 'unsigned long' but the argument has type 'unsigned long long' [-Wformat] log_debug("equivalence class: %016lx\n", cls.first); ~~~~~~ ^~~~~~~~~ %016llx ./kernel/log.h:147:52: note: expanded from macro 'log_debug' # define log_debug(...) do { if (ys_debug(1)) log(__VA_ARGS__); } while (0) ^~~~~~~~~~~ [ 63%] Building passes/techmap/abc9_exe.o [ 64%] Building passes/techmap/abc9_ops.o [ 64%] Building passes/techmap/iopadmap.o [ 64%] Building passes/techmap/clkbufmap.o [ 65%] Building passes/techmap/hilomap.o [ 65%] Building passes/techmap/extract.o [ 65%] Building passes/techmap/extract_fa.o [ 66%] Building passes/techmap/extract_counter.o [ 66%] Building passes/techmap/extract_reduce.o [ 66%] Building passes/techmap/alumacc.o [ 67%] Building passes/techmap/dffinit.o [ 67%] Building passes/techmap/pmuxtree.o [ 67%] Building passes/techmap/bmuxmap.o [ 68%] Building passes/techmap/demuxmap.o [ 68%] Building passes/techmap/bwmuxmap.o [ 68%] Building passes/techmap/muxcover.o [ 69%] Building passes/techmap/aigmap.o [ 69%] Building passes/techmap/tribuf.o [ 69%] Building passes/techmap/lut2mux.o [ 70%] Building passes/techmap/nlutmap.o [ 70%] Building passes/techmap/shregmap.o [ 70%] Building passes/techmap/deminout.o [ 71%] Building passes/techmap/insbuf.o [ 71%] Building passes/techmap/attrmvcp.o [ 71%] Building passes/techmap/attrmap.o [ 72%] Building passes/techmap/zinit.o [ 72%] Building passes/techmap/dfflegalize.o [ 72%] Building passes/techmap/dffunmap.o [ 72%] Building passes/techmap/flowmap.o [ 73%] Building passes/techmap/extractinv.o [ 73%] Building passes/tests/test_autotb.o [ 73%] Building passes/tests/test_cell.o [ 74%] Building passes/tests/test_abcloop.o [ 74%] Building backends/aiger/aiger.o [ 74%] Building backends/aiger/xaiger.o [ 75%] Building backends/blif/blif.o [ 75%] Building backends/btor/btor.o [ 75%] Building backends/cxxrtl/cxxrtl_backend.o [ 76%] Building backends/edif/edif.o [ 76%] Building backends/firrtl/firrtl.o [ 76%] Building backends/intersynth/intersynth.o [ 77%] Building backends/jny/jny.o [ 77%] Building backends/json/json.o [ 77%] Building backends/rtlil/rtlil_backend.o [ 78%] Building backends/simplec/simplec.o [ 78%] Building backends/smt2/smt2.o [ 78%] Building backends/smv/smv.o [ 79%] Building backends/spice/spice.o [ 79%] Building backends/table/table.o [ 79%] Building backends/verilog/verilog_backend.o [ 80%] Building techlibs/achronix/synth_achronix.o [ 80%] Building techlibs/anlogic/synth_anlogic.o [ 80%] Building techlibs/anlogic/anlogic_eqn.o [ 81%] Building techlibs/anlogic/anlogic_fixcarry.o [ 81%] Building techlibs/common/synth.o [ 81%] Building techlibs/common/prep.o [ 81%] Building techlibs/coolrunner2/synth_coolrunner2.o [ 82%] Building techlibs/coolrunner2/coolrunner2_sop.o [ 82%] Building techlibs/coolrunner2/coolrunner2_fixup.o [ 82%] Building techlibs/easic/synth_easic.o [ 83%] Building techlibs/ecp5/synth_ecp5.o [ 83%] Building techlibs/ecp5/ecp5_gsr.o [ 83%] Building techlibs/efinix/synth_efinix.o [ 84%] Building techlibs/efinix/efinix_fixcarry.o [ 84%] Building techlibs/fabulous/synth_fabulous.o [ 84%] Building techlibs/gatemate/synth_gatemate.o [ 85%] Building techlibs/gatemate/gatemate_foldinv.o [ 85%] Building techlibs/gowin/synth_gowin.o 1 warning generated. [ 85%] Building techlibs/greenpak4/synth_greenpak4.o [ 86%] Building techlibs/greenpak4/greenpak4_dffinv.o [ 86%] Building techlibs/ice40/synth_ice40.o [ 86%] Building techlibs/ice40/ice40_braminit.o [ 87%] Building techlibs/ice40/ice40_opt.o [ 87%] Building techlibs/intel/synth_intel.o [ 87%] Building techlibs/intel_alm/synth_intel_alm.o [ 88%] Building techlibs/machxo2/synth_machxo2.o [ 88%] Building techlibs/nexus/synth_nexus.o [ 88%] Building techlibs/quicklogic/synth_quicklogic.o [ 89%] Building techlibs/sf2/synth_sf2.o [ 89%] Building techlibs/xilinx/synth_xilinx.o [ 89%] Building techlibs/xilinx/xilinx_dffopt.o [ 99%] Building yosys-config [ 99%] Building passes/techmap/filterlib.o [ 99%] Building yosys-smtbmc [ 99%] Building yosys-witness [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/hashlib.h [ 99%] Building share/include/kernel/log.h [ 99%] Building share/include/kernel/rtlil.h [ 99%] Building share/include/kernel/binding.h [ 99%] Building share/include/kernel/register.h [ 99%] Building share/include/kernel/celltypes.h [ 99%] Building share/include/kernel/celledges.h [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/constids.inc [ 99%] Building share/include/kernel/sigtools.h [ 99%] Building share/include/kernel/modtools.h [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/utils.h [ 99%] Building share/include/kernel/satgen.h [ 99%] Building share/include/kernel/qcsat.h [ 99%] Building share/include/kernel/ff.h [ 99%] Building share/include/kernel/ffinit.h [ 99%] Building share/include/kernel/fstdata.h [ 99%] Building share/include/kernel/mem.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 99%] Building share/include/libs/fst/fstapi.h [ 99%] Building share/include/libs/sha1/sha1.h [ 99%] Building share/include/libs/json11/json11.hpp [ 99%] Building share/include/passes/fsm/fsmdata.h [ 99%] Building share/include/frontends/ast/ast.h [ 99%] Building share/include/frontends/ast/ast_binding.h [ 99%] Building share/include/frontends/blif/blifparse.h [ 99%] Building share/include/backends/rtlil/rtlil_backend.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.cc [ 99%] Building share/include/backends/cxxrtl/cxxrtl_capi.h [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc [ 99%] Building share/include/backends/cxxrtl/cxxrtl_vcd_capi.h [ 99%] Building share/python3/smtio.py [ 99%] Building share/python3/ywio.py [ 99%] Building share/achronix/speedster22i/cells_sim.v [ 99%] Building share/achronix/speedster22i/cells_map.v [ 99%] Building share/anlogic/cells_map.v [ 99%] Building share/anlogic/arith_map.v [ 99%] Building share/anlogic/cells_sim.v [ 99%] Building share/anlogic/eagle_bb.v [ 99%] Building share/anlogic/lutrams.txt [ 99%] Building share/anlogic/lutrams_map.v [ 99%] Building share/anlogic/brams.txt [ 99%] Building share/anlogic/brams_map.v [ 99%] Building share/simlib.v [ 99%] Building share/simcells.v [ 99%] Building share/techmap.v [ 99%] Building share/smtmap.v [ 99%] Building share/pmux2mux.v [ 99%] Building share/adff2dff.v [ 99%] Building share/dff2ff.v [ 99%] Building share/gate2lut.v [ 99%] Building share/cmp2lut.v [ 99%] Building share/cells.lib [ 99%] Building share/mul2dsp.v [ 99%] Building share/abc9_model.v [ 99%] Building share/abc9_map.v [ 99%] Building share/abc9_unmap.v [ 99%] Building share/cmp2lcu.v [ 99%] Building share/coolrunner2/cells_latch.v [ 99%] Building share/coolrunner2/cells_sim.v [ 99%] Building share/coolrunner2/cells_counter_map.v [ 99%] Building share/coolrunner2/tff_extract.v [ 99%] Building share/coolrunner2/xc2_dff.lib [ 99%] Building share/ecp5/cells_ff.vh [ 99%] Building share/ecp5/cells_io.vh [ 99%] Building share/ecp5/cells_map.v [ 99%] Building share/ecp5/cells_sim.v [ 99%] Building share/ecp5/cells_bb.v [ 99%] Building share/ecp5/lutrams_map.v [ 99%] Building share/ecp5/lutrams.txt [ 99%] Building share/ecp5/brams_map.v [ 99%] Building share/ecp5/brams.txt [ 99%] Building share/ecp5/arith_map.v [ 99%] Building share/ecp5/latches_map.v [ 99%] Building share/ecp5/dsp_map.v [ 99%] Building share/efinix/cells_map.v [ 99%] Building share/efinix/arith_map.v [ 99%] Building share/efinix/cells_sim.v [ 99%] Building share/efinix/brams_map.v [ 99%] Building share/efinix/gbuf_map.v [ 99%] Building share/efinix/brams.txt [ 99%] Building share/fabulous/cells_map.v [ 99%] Building share/fabulous/prims.v [ 99%] Building share/fabulous/latches_map.v [ 99%] Building share/fabulous/ff_map.v [ 99%] Building share/fabulous/ram_regfile.txt [ 99%] Building share/fabulous/regfile_map.v [ 99%] Building share/fabulous/io_map.v [ 99%] Building share/fabulous/arith_map.v [ 99%] Building share/gatemate/reg_map.v [ 99%] Building share/gatemate/mux_map.v [ 99%] Building share/gatemate/lut_map.v [ 99%] Building share/gatemate/mul_map.v [ 99%] Building share/gatemate/arith_map.v [ 99%] Building share/gatemate/cells_sim.v [ 99%] Building share/gatemate/cells_bb.v [ 99%] Building share/gatemate/brams_map.v [ 99%] Building share/gatemate/brams.txt [ 99%] Building share/gatemate/brams_init_20.vh [ 99%] Building share/gatemate/brams_init_40.vh [ 99%] Building share/gatemate/inv_map.v [ 99%] Building techlibs/gatemate/lut_tree_lib.mk [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 99%] Building share/gowin/cells_xtra.v [ 99%] Building share/gowin/arith_map.v [ 99%] Building share/gowin/brams_map.v [ 99%] Building share/gowin/brams.txt [ 99%] Building share/gowin/lutrams_map.v [ 99%] Building share/gowin/lutrams.txt [ 99%] Building share/greenpak4/cells_blackbox.v [ 99%] Building share/greenpak4/cells_latch.v [ 99%] Building share/greenpak4/cells_map.v [ 99%] Building share/greenpak4/cells_sim.v [ 99%] Building share/greenpak4/cells_sim_ams.v [ 99%] Building share/greenpak4/cells_sim_digital.v [ 99%] Building share/greenpak4/cells_sim_wip.v [ 99%] Building share/greenpak4/gp_dff.lib [ 99%] Building share/ice40/arith_map.v [ 99%] Building share/ice40/cells_map.v [ 99%] Building share/ice40/ff_map.v [ 99%] Building share/ice40/cells_sim.v [ 99%] Building share/ice40/latches_map.v [ 99%] Building share/ice40/brams.txt [ 99%] Building share/ice40/brams_map.v [ 99%] Building share/ice40/spram.txt [ 99%] Building share/ice40/spram_map.v [ 99%] Building share/ice40/dsp_map.v [ 99%] Building share/ice40/abc9_model.v [ 99%] Building share/intel/common/m9k_bb.v [ 99%] Building share/intel/common/altpll_bb.v [ 99%] Building share/intel/common/brams_m9k.txt [ 99%] Building share/intel/common/brams_map_m9k.v [ 99%] Building share/intel/common/ff_map.v [ 99%] Building share/intel/max10/cells_sim.v [ 99%] Building share/intel/cyclone10lp/cells_sim.v [ 99%] Building share/intel/cycloneiv/cells_sim.v [ 99%] Building share/intel/cycloneive/cells_sim.v [ 99%] Building share/intel/max10/cells_map.v [ 99%] Building share/intel/cyclone10lp/cells_map.v [ 99%] Building share/intel/cycloneiv/cells_map.v [ 99%] Building share/intel/cycloneive/cells_map.v [ 99%] Building share/intel_alm/common/abc9_map.v [ 99%] Building share/intel_alm/common/abc9_unmap.v [ 99%] Building share/intel_alm/common/abc9_model.v [ 99%] Building share/intel_alm/common/alm_map.v [ 99%] Building share/intel_alm/common/alm_sim.v [ 99%] Building share/intel_alm/common/arith_alm_map.v [ 99%] Building share/intel_alm/common/dff_map.v [ 99%] Building share/intel_alm/common/dff_sim.v [ 99%] Building share/intel_alm/common/dsp_sim.v [ 99%] Building share/intel_alm/common/dsp_map.v [ 99%] Building share/intel_alm/common/mem_sim.v [ 99%] Building share/intel_alm/common/misc_sim.v [ 99%] Building share/intel_alm/cyclonev/cells_sim.v [ 99%] Building share/intel_alm/common/bram_m10k.txt [ 99%] Building share/intel_alm/common/bram_m10k_map.v [ 99%] Building share/intel_alm/common/bram_m20k.txt [ 99%] Building share/intel_alm/common/bram_m20k_map.v [ 99%] Building share/intel_alm/common/lutram_mlab.txt [ 99%] Building share/intel_alm/common/megafunction_bb.v [ 99%] Building share/intel_alm/common/quartus_rename.v [ 99%] Building share/machxo2/cells_io.vh [ 99%] Building share/machxo2/cells_map.v [ 99%] Building share/machxo2/cells_sim.v [ 99%] Building share/machxo2/cells_bb.v [ 99%] Building share/machxo2/lutrams.txt [ 99%] Building share/machxo2/lutrams_map.v [ 99%] Building share/machxo2/brams.txt [ 99%] Building share/machxo2/brams_map.v [ 99%] Building share/machxo2/arith_map.v [ 99%] Building share/nexus/cells_map.v [ 99%] Building share/nexus/cells_sim.v [ 99%] Building share/nexus/parse_init.vh [ 99%] Building share/nexus/cells_xtra.v [ 99%] Building share/nexus/lutrams_map.v [ 99%] Building share/nexus/lutrams.txt [ 99%] Building share/nexus/brams_map.v [ 99%] Building share/nexus/brams.txt [ 99%] Building share/nexus/lrams_map.v [ 99%] Building share/nexus/lrams.txt [ 99%] Building share/nexus/arith_map.v [ 99%] Building share/nexus/latches_map.v [ 99%] Building share/nexus/dsp_map.v [ 99%] Building share/quicklogic/pp3_ffs_map.v [ 99%] Building share/quicklogic/pp3_lut_map.v [ 99%] Building share/quicklogic/pp3_latches_map.v [ 99%] Building share/quicklogic/pp3_cells_map.v [ 99%] Building share/quicklogic/cells_sim.v [ 99%] Building share/quicklogic/lut_sim.v [ 99%] Building share/quicklogic/pp3_cells_sim.v [ 99%] Building share/quicklogic/abc9_model.v [ 99%] Building share/quicklogic/abc9_map.v [ 99%] Building share/quicklogic/abc9_unmap.v [ 99%] Building share/sf2/arith_map.v [ 99%] Building share/sf2/cells_map.v [ 99%] Building share/sf2/cells_sim.v [ 99%] Building share/xilinx/cells_map.v [ 99%] Building share/xilinx/cells_sim.v [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/lutrams_xcv.txt [ 99%] Building share/xilinx/lutrams_xcv_map.v [ 99%] Building share/xilinx/lutrams_xc5v.txt [ 99%] Building share/xilinx/lutrams_xcu.txt [ 99%] Building share/xilinx/lutrams_xc5v_map.v [ 99%] Building share/xilinx/brams_xcv.txt [ 99%] Building share/xilinx/brams_xcv_map.v [ 99%] Building share/xilinx/brams_defs.vh [ 99%] Building share/xilinx/brams_xc2v.txt [ 99%] Building share/xilinx/brams_xc2v_map.v [ 99%] Building share/xilinx/brams_xc3sda.txt [ 99%] Building share/xilinx/brams_xc3sda_map.v [ 99%] Building share/xilinx/brams_xc4v.txt [ 99%] Building share/xilinx/brams_xc4v_map.v [ 99%] Building share/xilinx/brams_xc5v_map.v [ 99%] Building share/xilinx/brams_xc6v_map.v [ 99%] Building share/xilinx/brams_xcu_map.v [ 99%] Building share/xilinx/urams.txt [ 99%] Building share/xilinx/urams_map.v [ 99%] Building share/xilinx/arith_map.v [ 99%] Building share/xilinx/ff_map.v [ 99%] Building share/xilinx/lut_map.v [ 99%] Building share/xilinx/mux_map.v [ 99%] Building share/xilinx/xc3s_mult_map.v [ 99%] Building share/xilinx/xc3sda_dsp_map.v [ 99%] Building share/xilinx/xc6s_dsp_map.v [ 99%] Building share/xilinx/xc4v_dsp_map.v [ 99%] Building share/xilinx/xc5v_dsp_map.v [ 99%] Building share/xilinx/xc7_dsp_map.v [ 99%] Building share/xilinx/xcu_dsp_map.v [ 99%] Building share/xilinx/abc9_model.v [ 99%] Building kernel/version_f7a8284c7b0.o [ 99%] Building kernel/python_wrappers.cc [ 99%] Building kernel/register.o [ 99%] Building frontends/rtlil/rtlil_parser.tab.o [ 99%] Building frontends/rtlil/rtlil_lexer.o [ 99%] Building frontends/verilog/verilog_parser.tab.o [ 99%] Building frontends/verilog/verilog_lexer.cc [ 99%] Building passes/pmgen/test_pmgen.o [ 99%] Building passes/pmgen/ice40_wrapcarry.o [ 99%] Building passes/pmgen/xilinx_dsp.o [ 99%] Building yosys-filterlib [ 99%] Building share/gatemate/lut_tree_cells.genlib [ 99%] Building share/gatemate/lut_tree_map.v [ 99%] Building frontends/verilog/verilog_lexer.o frontends/verilog/verilog_parser.tab.cc:3545:9: warning: variable 'frontend_verilog_yynerrs' set but not used [-Wunused-but-set-variable] int yynerrs = 0; ^ frontends/verilog/verilog_parser.tab.cc:74:25: note: expanded from macro 'yynerrs' #define yynerrs frontend_verilog_yynerrs ^ 1 warning generated. [ 99%] Building kernel/python_wrappers.o [100%] Building yosys [100%] Building libyosys.so Build successful. >>> yosys: Entering fakeroot... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.11 -lboost_python311 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys strip /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys-filterlib mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys cp -r share/. /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys/. mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/ strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/libyosys.so mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so cp misc/__init__.py /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/ '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so' -> '/usr/lib/yosys/libyosys.so' >>> yosys-dev*: Running split function dev... >>> yosys-dev*: Preparing subpackage yosys-dev... >>> yosys-dev*: Stripping binaries >>> yosys-dev*: Running postcheck for yosys-dev >>> py3-yosys*: Running split function py3... '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11' -> '/home/buildozer/aports/testing/yosys/pkg/py3-yosys/usr/lib/python3.11' >>> py3-yosys*: Preparing subpackage py3-yosys... >>> py3-yosys*: Running postcheck for py3-yosys >>> yosys*: Running postcheck for yosys >>> yosys*: Preparing package yosys... >>> yosys*: Stripping binaries >>> py3-yosys*: Scanning shared objects >>> yosys-dev*: Scanning shared objects >>> yosys*: Scanning shared objects >>> py3-yosys*: Tracing dependencies... python3 yosys=0.30-r1 python3~3.11 yosys=0.30-r1 >>> py3-yosys*: Package size: 28.0 KB >>> py3-yosys*: Compressing data... >>> py3-yosys*: Create checksum... >>> py3-yosys*: Create py3-yosys-0.30-r1.apk >>> yosys-dev*: Tracing dependencies... >>> yosys-dev*: Package size: 560.0 KB >>> yosys-dev*: Compressing data... >>> yosys-dev*: Create checksum... >>> yosys-dev*: Create yosys-dev-0.30-r1.apk >>> yosys*: Tracing dependencies... so:libboost_filesystem.so.1.82.0 so:libboost_python311.so.1.82.0 so:libc.musl-x86.so.1 so:libffi.so.8 so:libgcc_s.so.1 so:libpython3.11.so.1.0 so:libreadline.so.8 so:libstdc++.so.6 so:libtcl8.6.so so:libz.so.1 >>> yosys*: Package size: 50.6 MB >>> yosys*: Compressing data... >>> yosys*: Create checksum... >>> yosys*: Create yosys-0.30-r1.apk >>> yosys: Build complete at Mon, 03 Jul 2023 03:19:13 +0000 elapsed time 0h 5m 16s >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Uninstalling dependencies... (1/298) Purging .makedepends-yosys (20230703.031358) (2/298) Purging bash (5.2.15-r5) Executing bash-5.2.15-r5.pre-deinstall (3/298) Purging bison (3.8.2-r1) (4/298) Purging boost-dev (1.82.0-r2) (5/298) Purging boost1.82-dev (1.82.0-r2) (6/298) Purging boost1.82 (1.82.0-r2) (7/298) Purging xz-dev (5.4.3-r1) (8/298) Purging boost1.82-libs (1.82.0-r2) (9/298) Purging boost1.82-atomic (1.82.0-r2) (10/298) Purging boost1.82-container (1.82.0-r2) (11/298) Purging boost1.82-contract (1.82.0-r2) (12/298) Purging boost1.82-coroutine (1.82.0-r2) (13/298) Purging boost1.82-date_time (1.82.0-r2) (14/298) Purging boost1.82-fiber (1.82.0-r2) (15/298) Purging boost1.82-graph (1.82.0-r2) (16/298) Purging boost1.82-iostreams (1.82.0-r2) (17/298) Purging boost1.82-json (1.82.0-r2) (18/298) Purging boost1.82-locale (1.82.0-r2) (19/298) Purging boost1.82-log_setup (1.82.0-r2) (20/298) Purging boost1.82-math (1.82.0-r2) (21/298) Purging boost1.82-nowide (1.82.0-r2) (22/298) Purging boost1.82-prg_exec_monitor (1.82.0-r2) (23/298) Purging boost1.82-program_options (1.82.0-r2) (24/298) Purging boost1.82-python3 (1.82.0-r2) (25/298) Purging boost1.82-random (1.82.0-r2) (26/298) Purging boost1.82-regex (1.82.0-r2) (27/298) Purging boost1.82-stacktrace_basic (1.82.0-r2) (28/298) Purging boost1.82-stacktrace_noop (1.82.0-r2) (29/298) Purging boost1.82-system (1.82.0-r2) (30/298) Purging boost1.82-timer (1.82.0-r2) (31/298) Purging boost1.82-type_erasure (1.82.0-r2) (32/298) Purging boost1.82-unit_test_framework (1.82.0-r2) (33/298) Purging boost1.82-url (1.82.0-r2) (34/298) Purging boost1.82-wave (1.82.0-r2) (35/298) Purging boost1.82-wserialization (1.82.0-r2) (36/298) Purging flex (2.6.4-r6) (37/298) Purging m4 (1.4.19-r3) (38/298) Purging gawk (5.2.2-r0) (39/298) Purging graphviz-dev (8.0.5-r2) (40/298) Purging gd-dev (2.3.3-r7) (41/298) Purging gd (2.3.3-r7) (42/298) Purging libgd (2.3.3-r7) (43/298) Purging gmp-dev (6.2.1-r3) (44/298) Purging libgmpxx (6.2.1-r3) (45/298) Purging libsm-dev (1.2.4-r3) (46/298) Purging pango-dev (1.50.14-r1) (47/298) Purging pango-tools (1.50.14-r1) (48/298) Purging pango (1.50.14-r1) Executing pango-1.50.14-r1.pre-deinstall (49/298) Purging python3-dev (3.11.4-r1) (50/298) Purging graphviz-libs (8.0.5-r2) (51/298) Purging lld (16.0.6-r1) (52/298) Purging protobuf-dev (23.3-r0) (53/298) Purging protoc (23.3-r0) (54/298) Purging libprotobuf-lite (23.3-r0) (55/298) Purging libprotoc (23.3-r0) (56/298) Purging readline-dev (8.2.1-r2) (57/298) Purging libhistory (8.2.1-r2) (58/298) Purging tcl-dev (8.6.13-r1) (59/298) Purging tcl (8.6.13-r1) (60/298) Purging tzdata (2023c-r1) (61/298) Purging abseil-cpp-dev (20230125.3-r2) (62/298) Purging abseil-cpp-atomic-hook-test-helper (20230125.3-r2) (63/298) Purging abseil-cpp-bad-any-cast-impl (20230125.3-r2) (64/298) Purging abseil-cpp-bad-optional-access (20230125.3-r2) (65/298) Purging abseil-cpp-bad-variant-access (20230125.3-r2) (66/298) Purging abseil-cpp-civil-time (20230125.3-r2) (67/298) Purging abseil-cpp-cordz-sample-token (20230125.3-r2) (68/298) Purging abseil-cpp-crc-cpu-detect (20230125.3-r2) (69/298) Purging abseil-cpp-demangle-internal (20230125.3-r2) (70/298) Purging abseil-cpp-exception-safety-testing (20230125.3-r2) (71/298) Purging abseil-cpp-failure-signal-handler (20230125.3-r2) (72/298) Purging abseil-cpp-flags-parse (20230125.3-r2) (73/298) Purging abseil-cpp-flags-usage-internal (20230125.3-r2) (74/298) Purging abseil-cpp-flags-usage (20230125.3-r2) (75/298) Purging abseil-cpp-flags (20230125.3-r2) (76/298) Purging abseil-cpp-graphcycles-internal (20230125.3-r2) (77/298) Purging abseil-cpp-hash-generator-testing (20230125.3-r2) (78/298) Purging abseil-cpp-hashtablez-sampler (20230125.3-r2) (79/298) Purging abseil-cpp-leak-check (20230125.3-r2) (80/298) Purging abseil-cpp-log-entry (20230125.3-r2) (81/298) Purging abseil-cpp-log-flags (20230125.3-r2) (82/298) Purging abseil-cpp-log-internal-conditions (20230125.3-r2) (83/298) Purging abseil-cpp-log-internal-test-actions (20230125.3-r2) (84/298) Purging abseil-cpp-log-internal-test-matchers (20230125.3-r2) (85/298) Purging abseil-cpp-per-thread-sem-test-common (20230125.3-r2) (86/298) Purging abseil-cpp-periodic-sampler (20230125.3-r2) (87/298) Purging abseil-cpp-pow10-helper (20230125.3-r2) (88/298) Purging abseil-cpp-random-distributions (20230125.3-r2) (89/298) Purging abseil-cpp-random-internal-distribution-test-util (20230125.3-r2) (90/298) Purging abseil-cpp-random-internal-randen-hwaes-impl (20230125.3-r2) (91/298) Purging abseil-cpp-random-internal-randen-hwaes (20230125.3-r2) (92/298) Purging abseil-cpp-random-seed-sequences (20230125.3-r2) (93/298) Purging abseil-cpp-scoped-mock-log (20230125.3-r2) (94/298) Purging abseil-cpp-scoped-set-env (20230125.3-r2) (95/298) Purging abseil-cpp-spinlock-test-common (20230125.3-r2) (96/298) Purging abseil-cpp-stack-consumption (20230125.3-r2) (97/298) Purging abseil-cpp-test-instance-tracker (20230125.3-r2) (98/298) Purging abseil-cpp-time-internal-test-util (20230125.3-r2) (99/298) Purging abseil-cpp-flags-internal (20230125.3-r2) (100/298) Purging abseil-cpp-flags-marshalling (20230125.3-r2) (101/298) Purging abseil-cpp-flags-reflection (20230125.3-r2) (102/298) Purging abseil-cpp-log-internal-test-helpers (20230125.3-r2) (103/298) Purging abseil-cpp-log-severity (20230125.3-r2) (104/298) Purging abseil-cpp-random-internal-pool-urbg (20230125.3-r2) (105/298) Purging abseil-cpp-random-internal-randen (20230125.3-r2) (106/298) Purging abseil-cpp-random-internal-randen-slow (20230125.3-r2) (107/298) Purging abseil-cpp-random-internal-seed-material (20230125.3-r2) (108/298) Purging abseil-cpp-random-seed-gen-exception (20230125.3-r2) (109/298) Purging boost1.82-chrono (1.82.0-r2) (110/298) Purging boost1.82-context (1.82.0-r2) (111/298) Purging boost1.82-log (1.82.0-r2) (112/298) Purging boost1.82-serialization (1.82.0-r2) (113/298) Purging boost1.82-thread (1.82.0-r2) (114/298) Purging clang16 (16.0.6-r2) (115/298) Purging llvm16-linker-tools (16.0.6-r3) (116/298) Purging clang16-headers (16.0.6-r2) (117/298) Purging clang16-libs (16.0.6-r2) (118/298) Purging fribidi-dev (1.0.13-r0) (119/298) Purging fribidi (1.0.13-r0) (120/298) Purging git-perl (2.41.0-r0) (121/298) Purging perl-git (2.41.0-r0) (122/298) Purging perl-error (0.17029-r1) (123/298) Purging perl (5.36.1-r2) (124/298) Purging gmock (1.13.0-r1) (125/298) Purging gtest (1.13.0-r1) (126/298) Purging harfbuzz-dev (7.3.0-r1) (127/298) Purging harfbuzz-cairo (7.3.0-r1) (128/298) Purging harfbuzz-gobject (7.3.0-r1) (129/298) Purging harfbuzz-icu (7.3.0-r1) (130/298) Purging harfbuzz-subset (7.3.0-r1) (131/298) Purging harfbuzz (7.3.0-r1) (132/298) Purging icu-dev (73.2-r1) (133/298) Purging libavif-dev (0.11.1-r2) (134/298) Purging libavif (0.11.1-r2) (135/298) Purging libdav1d (1.2.1-r0) (136/298) Purging libice-dev (1.1.1-r5) (137/298) Purging libprotobuf (23.3-r0) (138/298) Purging libxft-dev (2.3.8-r2) (139/298) Purging libxft (2.3.8-r2) (140/298) Purging libxpm-dev (3.5.16-r1) (141/298) Purging libxpm (3.5.16-r1) (142/298) Purging libxt (1.3.0-r4) (143/298) Purging lld-libs (16.0.6-r1) (144/298) Purging llvm16-libs (16.0.6-r3) (145/298) Purging python3-pyc (3.11.4-r1) (146/298) Purging python3-pycache-pyc0 (3.11.4-r1) (147/298) Purging xcb-proto-pyc (1.15.2-r2) (148/298) Purging pyc (0.1-r0) (149/298) Purging scudo-malloc (16.0.6-r0) (150/298) Purging tiff-dev (4.5.1-r0) (151/298) Purging libtiffxx (4.5.1-r0) (152/298) Purging tiff (4.5.1-r0) (153/298) Purging zstd-dev (1.5.5-r5) (154/298) Purging zstd (1.5.5-r5) (155/298) Purging abseil-cpp-die-if-null (20230125.3-r2) (156/298) Purging abseil-cpp-log-internal-message (20230125.3-r2) (157/298) Purging abseil-cpp-log-internal-format (20230125.3-r2) (158/298) Purging abseil-cpp-flags-config (20230125.3-r2) (159/298) Purging abseil-cpp-flags-program-name (20230125.3-r2) (160/298) Purging abseil-cpp-log-internal-log-sink-set (20230125.3-r2) (161/298) Purging abseil-cpp-statusor (20230125.3-r2) (162/298) Purging abseil-cpp-status (20230125.3-r2) (163/298) Purging abseil-cpp-cord (20230125.3-r2) (164/298) Purging abseil-cpp-cordz-info (20230125.3-r2) (165/298) Purging abseil-cpp-synchronization (20230125.3-r2) (166/298) Purging abseil-cpp-time (20230125.3-r2) (167/298) Purging abseil-cpp-cordz-handle (20230125.3-r2) (168/298) Purging abseil-cpp-malloc-internal (20230125.3-r2) (169/298) Purging abseil-cpp-base (20230125.3-r2) (170/298) Purging abseil-cpp-log-globals (20230125.3-r2) (171/298) Purging abseil-cpp-hash (20230125.3-r2) (172/298) Purging abseil-cpp-city (20230125.3-r2) (173/298) Purging abseil-cpp-cord-internal (20230125.3-r2) (174/298) Purging abseil-cpp-cordz-functions (20230125.3-r2) (175/298) Purging abseil-cpp-crc-cord-state (20230125.3-r2) (176/298) Purging abseil-cpp-crc32c (20230125.3-r2) (177/298) Purging abseil-cpp-crc-internal (20230125.3-r2) (178/298) Purging abseil-cpp-examine-stack (20230125.3-r2) (179/298) Purging abseil-cpp-stacktrace (20230125.3-r2) (180/298) Purging abseil-cpp-debugging-internal (20230125.3-r2) (181/298) Purging abseil-cpp-exponential-biased (20230125.3-r2) (182/298) Purging abseil-cpp-flags-commandlineflag (20230125.3-r2) (183/298) Purging abseil-cpp-flags-commandlineflag-internal (20230125.3-r2) (184/298) Purging abseil-cpp-flags-private-handle-accessor (20230125.3-r2) (185/298) Purging abseil-cpp-log-internal-check-op (20230125.3-r2) (186/298) Purging abseil-cpp-str-format-internal (20230125.3-r2) (187/298) Purging abseil-cpp-strings (20230125.3-r2) (188/298) Purging abseil-cpp-int128 (20230125.3-r2) (189/298) Purging abseil-cpp-log-initialize (20230125.3-r2) (190/298) Purging abseil-cpp-log-internal-globals (20230125.3-r2) (191/298) Purging abseil-cpp-log-internal-nullguard (20230125.3-r2) (192/298) Purging abseil-cpp-log-internal-proto (20230125.3-r2) (193/298) Purging abseil-cpp-log-sink (20230125.3-r2) (194/298) Purging abseil-cpp-low-level-hash (20230125.3-r2) (195/298) Purging abseil-cpp-random-internal-platform (20230125.3-r2) (196/298) Purging abseil-cpp-raw-hash-set (20230125.3-r2) (197/298) Purging abseil-cpp-strings-internal (20230125.3-r2) (198/298) Purging abseil-cpp-raw-logging-internal (20230125.3-r2) (199/298) Purging abseil-cpp-spinlock-wait (20230125.3-r2) (200/298) Purging abseil-cpp-strerror (20230125.3-r2) (201/298) Purging abseil-cpp-symbolize (20230125.3-r2) (202/298) Purging abseil-cpp-throw-delegate (20230125.3-r2) (203/298) Purging abseil-cpp-time-zone (20230125.3-r2) (204/298) Purging aom-libs (3.6.1-r0) (205/298) Purging boost1.82-filesystem (1.82.0-r2) (206/298) Purging graphite2-dev (1.3.14-r6) (207/298) Purging graphite2 (1.3.14-r6) (208/298) Purging cairo-dev (1.17.8-r2) (209/298) Purging cairo-tools (1.17.8-r2) (210/298) Purging xcb-util-dev (0.4.1-r3) (211/298) Purging util-macros (1.20.0-r0) (212/298) Purging xcb-util (0.4.1-r3) (213/298) Purging cairo-gobject (1.17.8-r2) (214/298) Purging cairo (1.17.8-r2) (215/298) Purging fontconfig-dev (2.14.2-r4) (216/298) Purging fontconfig (2.14.2-r4) (217/298) Purging freetype-dev (2.13.1-r0) (218/298) Purging freetype (2.13.1-r0) (219/298) Purging brotli-dev (1.0.9-r15) (220/298) Purging brotli (1.0.9-r15) (221/298) Purging glib-dev (2.76.3-r0) (222/298) Purging bzip2-dev (1.0.8-r6) (223/298) Purging docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-deinstall (224/298) Purging docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-deinstall (225/298) Purging gettext-dev (0.22-r0) (226/298) Purging xz (5.4.3-r1) (227/298) Purging gettext-asprintf (0.22-r0) (228/298) Purging gettext (0.22-r0) (229/298) Purging gettext-envsubst (0.22-r0) (230/298) Purging libxml2-utils (2.11.4-r0) (231/298) Purging libxslt (1.1.38-r1) (232/298) Purging pcre2-dev (10.42-r1) (233/298) Purging libpcre2-16 (10.42-r1) (234/298) Purging libpcre2-32 (10.42-r1) (235/298) Purging libedit-dev (20221030.3.1-r2) (236/298) Purging ncurses-dev (6.4_p20230701-r0) (237/298) Purging libncurses++ (6.4_p20230701-r0) (238/298) Purging bsd-compat-headers (0.7.2-r5) (239/298) Purging expat-dev (2.5.0-r2) (240/298) Purging expat (2.5.0-r2) (241/298) Purging libxrender-dev (0.9.11-r4) (242/298) Purging libxrender (0.9.11-r4) (243/298) Purging libxext-dev (1.3.5-r3) (244/298) Purging libxext (1.3.5-r3) (245/298) Purging libx11-dev (1.8.6-r0) (246/298) Purging xtrans (1.5.0-r0) (247/298) Purging libx11 (1.8.6-r0) (248/298) Purging libxcb-dev (1.15-r3) (249/298) Purging libxcb (1.15-r3) (250/298) Purging xcb-proto (1.15.2-r2) (251/298) Purging python3 (3.11.4-r1) (252/298) Purging gdbm (1.23-r1) (253/298) Purging gettext-libs (0.22-r0) (254/298) Purging glib (2.76.3-r0) (255/298) Purging icu (73.2-r1) (256/298) Purging icu-libs (73.2-r1) (257/298) Purging icu-data-en (73.2-r1) (258/298) Purging util-linux-dev (2.39.1-r0) (259/298) Purging libfdisk (2.39.1-r0) (260/298) Purging libmount (2.39.1-r0) (261/298) Purging libsmartcols (2.39.1-r0) (262/298) Purging libblkid (2.39.1-r0) (263/298) Purging libxdmcp-dev (1.1.4-r3) (264/298) Purging libxdmcp (1.1.4-r3) (265/298) Purging libbsd (0.11.7-r2) (266/298) Purging libffi-dev (3.4.4-r3) (267/298) Purging linux-headers (6.4-r0) (268/298) Purging libffi (3.4.4-r3) (269/298) Purging libformw (6.4_p20230701-r0) (270/298) Purging libgcrypt (1.10.2-r2) (271/298) Purging libgpg-error (1.47-r2) (272/298) Purging libsm (1.2.4-r3) (273/298) Purging libice (1.1.1-r5) (274/298) Purging libintl (0.22-r0) (275/298) Purging libjpeg-turbo-dev (2.1.5.1-r4) (276/298) Purging libjpeg-turbo (2.1.5.1-r4) (277/298) Purging libmd (1.1.0-r0) (278/298) Purging libmenuw (6.4_p20230701-r0) (279/298) Purging libpanelw (6.4_p20230701-r0) (280/298) Purging libpng-dev (1.6.40-r0) (281/298) Purging libpng (1.6.40-r0) (282/298) Purging libwebp-dev (1.3.1-r0) (283/298) Purging libwebpdecoder (1.3.1-r0) (284/298) Purging libwebpdemux (1.3.1-r0) (285/298) Purging libwebpmux (1.3.1-r0) (286/298) Purging libwebp (1.3.1-r0) (287/298) Purging libsharpyuv (1.3.1-r0) (288/298) Purging libuuid (2.39.1-r0) (289/298) Purging libxau-dev (1.0.11-r3) (290/298) Purging libxau (1.0.11-r3) (291/298) Purging libxml2 (2.11.4-r0) (292/298) Purging mpdecimal (2.5.1-r2) (293/298) Purging pixman-dev (0.42.2-r2) (294/298) Purging pixman (0.42.2-r2) (295/298) Purging readline (8.2.1-r2) (296/298) Purging sqlite-libs (3.42.0-r2) (297/298) Purging xorgproto (2023.2-r0) (298/298) Purging zlib-dev (1.2.13-r2) Executing busybox-1.36.1-r2.trigger OK: 378 MiB in 110 packages >>> yosys: Updating the testing/x86 repository index... >>> yosys: Signing the index...