>>> yosys: Building testing/yosys 0.36-r1 (using abuild 3.12.0-r2) started Thu, 18 Jan 2024 23:54:24 +0000 >>> yosys: Checking sanity of /home/buildozer/aports/testing/yosys/APKBUILD... >>> yosys: Analyzing dependencies... >>> yosys: Installing for build: build-base abc bash bison boost-dev clang flex gawk graphviz-dev libffi-dev lld protobuf-dev python3 readline-dev tcl-dev zlib-dev (1/302) Installing readline (8.2.10-r0) (2/302) Installing abc (0_git20240102-r0) (3/302) Installing bash (5.2.26-r0) Executing bash-5.2.26-r0.post-install (4/302) Installing m4 (1.4.19-r3) (5/302) Installing bison (3.8.2-r1) (6/302) Installing boost1.82-atomic (1.82.0-r3) (7/302) Installing boost1.82-chrono (1.82.0-r3) (8/302) Installing boost1.82-container (1.82.0-r3) (9/302) Installing boost1.82-context (1.82.0-r3) (10/302) Installing boost1.82-contract (1.82.0-r3) (11/302) Installing boost1.82-coroutine (1.82.0-r3) (12/302) Installing boost1.82-date_time (1.82.0-r3) (13/302) Installing boost1.82-fiber (1.82.0-r3) (14/302) Installing boost1.82-filesystem (1.82.0-r3) (15/302) Installing boost1.82-graph (1.82.0-r3) (16/302) Installing boost1.82-iostreams (1.82.0-r3) (17/302) Installing boost1.82-thread (1.82.0-r3) (18/302) Installing icu-data-en (74.2-r0) Executing icu-data-en-74.2-r0.post-install * * If you need ICU with non-English locales and legacy charset support, install * package icu-data-full. * (19/302) Installing icu-libs (74.2-r0) (20/302) Installing boost1.82-locale (1.82.0-r3) (21/302) Installing boost1.82-log (1.82.0-r3) (22/302) Installing boost1.82-log_setup (1.82.0-r3) (23/302) Installing boost1.82-math (1.82.0-r3) (24/302) Installing boost1.82-prg_exec_monitor (1.82.0-r3) (25/302) Installing boost1.82-program_options (1.82.0-r3) (26/302) Installing libffi (3.4.4-r3) (27/302) Installing gdbm (1.23-r1) (28/302) Installing mpdecimal (2.5.1-r2) (29/302) Installing libpanelw (6.4_p20231125-r0) (30/302) Installing sqlite-libs (3.45.0-r0) (31/302) Installing python3 (3.11.6-r1) (32/302) Installing python3-pycache-pyc0 (3.11.6-r1) (33/302) Installing pyc (3.11.6-r1) (34/302) Installing python3-pyc (3.11.6-r1) (35/302) Installing boost1.82-python3 (1.82.0-r3) (36/302) Installing boost1.82-random (1.82.0-r3) (37/302) Installing boost1.82-regex (1.82.0-r3) (38/302) Installing boost1.82-serialization (1.82.0-r3) (39/302) Installing boost1.82-stacktrace_basic (1.82.0-r3) (40/302) Installing boost1.82-stacktrace_noop (1.82.0-r3) (41/302) Installing boost1.82-system (1.82.0-r3) (42/302) Installing boost1.82-timer (1.82.0-r3) (43/302) Installing boost1.82-type_erasure (1.82.0-r3) (44/302) Installing boost1.82-unit_test_framework (1.82.0-r3) (45/302) Installing boost1.82-url (1.82.0-r3) (46/302) Installing boost1.82-wave (1.82.0-r3) (47/302) Installing boost1.82-wserialization (1.82.0-r3) (48/302) Installing boost1.82-json (1.82.0-r3) (49/302) Installing boost1.82-nowide (1.82.0-r3) (50/302) Installing boost1.82-libs (1.82.0-r3) (51/302) Installing boost1.82 (1.82.0-r3) (52/302) Installing linux-headers (6.6-r0) (53/302) Installing bzip2-dev (1.0.8-r6) (54/302) Installing icu (74.2-r0) (55/302) Installing icu-dev (74.2-r0) (56/302) Installing xz (5.4.5-r0) (57/302) Installing xz-dev (5.4.5-r0) (58/302) Installing zlib-dev (1.3-r2) (59/302) Installing zstd (1.5.5-r8) (60/302) Installing zstd-dev (1.5.5-r8) (61/302) Installing boost1.82-dev (1.82.0-r3) (62/302) Installing boost-dev (1.82.0-r3) (63/302) Installing clang17-headers (17.0.6-r0) (64/302) Installing libxml2 (2.12.4-r0) (65/302) Installing llvm17-libs (17.0.6-r0) (66/302) Installing clang17-libs (17.0.6-r0) (67/302) Installing llvm17-linker-tools (17.0.6-r0) (68/302) Installing clang17 (17.0.6-r0) (69/302) Installing flex (2.6.4-r6) (70/302) Installing gawk (5.3.0-r0) (71/302) Installing cairo-tools (1.18.0-r0) (72/302) Installing libpng (1.6.40-r0) (73/302) Installing freetype (2.13.2-r0) (74/302) Installing fontconfig (2.15.0-r0) (75/302) Installing expat (2.5.0-r2) (76/302) Installing expat-dev (2.5.0-r2) (77/302) Installing brotli (1.1.0-r1) (78/302) Installing brotli-dev (1.1.0-r1) (79/302) Installing libpng-dev (1.6.40-r0) (80/302) Installing freetype-dev (2.13.2-r0) (81/302) Installing fontconfig-dev (2.15.0-r0) (82/302) Installing libxau (1.0.11-r3) (83/302) Installing xorgproto (2023.2-r0) (84/302) Installing libxau-dev (1.0.11-r3) (85/302) Installing libmd (1.1.0-r0) (86/302) Installing libbsd (0.11.8-r0) (87/302) Installing libxdmcp (1.1.4-r3) (88/302) Installing libxcb (1.16-r0) (89/302) Installing libx11 (1.8.7-r0) (90/302) Installing libxext (1.3.5-r3) (91/302) Installing xcb-proto (1.16.0-r0) (92/302) Installing xcb-proto-pyc (1.16.0-r0) (93/302) Installing libxdmcp-dev (1.1.4-r3) (94/302) Installing libxcb-dev (1.16-r0) (95/302) Installing xtrans (1.5.0-r0) (96/302) Installing libx11-dev (1.8.7-r0) (97/302) Installing libxext-dev (1.3.5-r3) (98/302) Installing libxrender (0.9.11-r4) (99/302) Installing libxrender-dev (0.9.11-r4) (100/302) Installing pixman (0.43.0-r0) (101/302) Installing pixman-dev (0.43.0-r0) (102/302) Installing util-macros (1.20.0-r0) (103/302) Installing xcb-util (0.4.1-r3) (104/302) Installing xcb-util-dev (0.4.1-r3) (105/302) Installing cairo (1.18.0-r0) (106/302) Installing libintl (0.22.4-r0) (107/302) Installing libblkid (2.39.3-r0) (108/302) Installing libmount (2.39.3-r0) (109/302) Installing glib (2.78.3-r0) (110/302) Installing cairo-gobject (1.18.0-r0) (111/302) Installing libxml2-utils (2.12.4-r0) (112/302) Installing docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-install (113/302) Installing libgpg-error (1.47-r2) (114/302) Installing libgcrypt (1.10.3-r0) (115/302) Installing libxslt (1.1.39-r0) (116/302) Installing docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-install (117/302) Installing gettext-asprintf (0.22.4-r0) (118/302) Installing gettext-libs (0.22.4-r0) (119/302) Installing gettext-envsubst (0.22.4-r0) (120/302) Installing gettext (0.22.4-r0) (121/302) Installing gettext-dev (0.22.4-r0) (122/302) Installing libffi-dev (3.4.4-r3) (123/302) Installing bsd-compat-headers (0.7.2-r5) (124/302) Installing libformw (6.4_p20231125-r0) (125/302) Installing libmenuw (6.4_p20231125-r0) (126/302) Installing libncurses++ (6.4_p20231125-r0) (127/302) Installing ncurses-dev (6.4_p20231125-r0) (128/302) Installing libedit-dev (20230828.3.1-r4) (129/302) Installing libpcre2-16 (10.42-r2) (130/302) Installing libpcre2-32 (10.42-r2) (131/302) Installing pcre2-dev (10.42-r2) (132/302) Installing libuuid (2.39.3-r0) (133/302) Installing libfdisk (2.39.3-r0) (134/302) Installing libsmartcols (2.39.3-r0) (135/302) Installing util-linux-dev (2.39.3-r0) (136/302) Installing glib-dev (2.78.3-r0) (137/302) Installing cairo-dev (1.18.0-r0) (138/302) Installing libice (1.1.1-r5) (139/302) Installing libsm (1.2.4-r3) (140/302) Installing libxt (1.3.0-r4) (141/302) Installing libxpm (3.5.17-r0) (142/302) Installing aom-libs (3.7.1-r0) (143/302) Installing libdav1d (1.3.0-r0) (144/302) Installing libsharpyuv (1.3.2-r0) (145/302) Installing libavif (1.0.3-r0) (146/302) Installing libjpeg-turbo (3.0.1-r0) (147/302) Installing libwebp (1.3.2-r0) (148/302) Installing tiff (4.6.0-r0) (149/302) Installing libgd (2.3.3-r8) (150/302) Installing gd (2.3.3-r8) (151/302) Installing perl (5.38.2-r0) (152/302) Installing libavif-dev (1.0.3-r0) (153/302) Installing libturbojpeg (3.0.1-r0) (154/302) Installing libjpeg-turbo-dev (3.0.1-r0) (155/302) Installing libtiffxx (4.6.0-r0) (156/302) Installing libwebpdecoder (1.3.2-r0) (157/302) Installing libwebpdemux (1.3.2-r0) (158/302) Installing libwebpmux (1.3.2-r0) (159/302) Installing libwebp-dev (1.3.2-r0) (160/302) Installing tiff-dev (4.6.0-r0) (161/302) Installing libxpm-dev (3.5.17-r0) (162/302) Installing gd-dev (2.3.3-r8) (163/302) Installing libgmpxx (6.3.0-r0) (164/302) Installing gmp-dev (6.3.0-r0) (165/302) Installing libice-dev (1.1.1-r5) (166/302) Installing libsm-dev (1.2.4-r3) (167/302) Installing libxft (2.3.8-r2) (168/302) Installing graphite2 (1.3.14-r6) (169/302) Installing harfbuzz (8.3.0-r0) (170/302) Installing fribidi (1.0.13-r0) (171/302) Installing pango (1.51.0-r0) (172/302) Installing pango-tools (1.51.0-r0) (173/302) Installing fribidi-dev (1.0.13-r0) (174/302) Installing harfbuzz-cairo (8.3.0-r0) (175/302) Installing harfbuzz-gobject (8.3.0-r0) (176/302) Installing harfbuzz-icu (8.3.0-r0) (177/302) Installing harfbuzz-subset (8.3.0-r0) (178/302) Installing graphite2-dev (1.3.14-r6) (179/302) Installing harfbuzz-dev (8.3.0-r0) (180/302) Installing libxft-dev (2.3.8-r2) (181/302) Installing pango-dev (1.51.0-r0) (182/302) Installing python3-dev (3.11.6-r1) (183/302) Installing graphviz-libs (9.0.0-r0) (184/302) Installing graphviz-dev (9.0.0-r0) (185/302) Installing lld-libs (17.0.6-r0) (186/302) Installing scudo-malloc (17.0.6-r0) (187/302) Installing lld (17.0.6-r0) (188/302) Installing abseil-cpp-raw-logging-internal (20230802.1-r0) (189/302) Installing abseil-cpp-log-internal-globals (20230802.1-r0) (190/302) Installing abseil-cpp-time-zone (20230802.1-r0) (191/302) Installing abseil-cpp-log-initialize (20230802.1-r0) (192/302) Installing abseil-cpp-city (20230802.1-r0) (193/302) Installing abseil-cpp-low-level-hash (20230802.1-r0) (194/302) Installing abseil-cpp-hash (20230802.1-r0) (195/302) Installing abseil-cpp-log-internal-nullguard (20230802.1-r0) (196/302) Installing abseil-cpp-int128 (20230802.1-r0) (197/302) Installing abseil-cpp-strings-internal (20230802.1-r0) (198/302) Installing abseil-cpp-strings (20230802.1-r0) (199/302) Installing abseil-cpp-log-internal-check-op (20230802.1-r0) (200/302) Installing abseil-cpp-spinlock-wait (20230802.1-r0) (201/302) Installing abseil-cpp-base (20230802.1-r0) (202/302) Installing abseil-cpp-log-internal-conditions (20230802.1-r0) (203/302) Installing abseil-cpp-debugging-internal (20230802.1-r0) (204/302) Installing abseil-cpp-stacktrace (20230802.1-r0) (205/302) Installing abseil-cpp-symbolize (20230802.1-r0) (206/302) Installing abseil-cpp-examine-stack (20230802.1-r0) (207/302) Installing abseil-cpp-log-globals (20230802.1-r0) (208/302) Installing abseil-cpp-str-format-internal (20230802.1-r0) (209/302) Installing abseil-cpp-time (20230802.1-r0) (210/302) Installing abseil-cpp-log-internal-format (20230802.1-r0) (211/302) Installing abseil-cpp-log-sink (20230802.1-r0) (212/302) Installing abseil-cpp-kernel-timeout-internal (20230802.1-r0) (213/302) Installing abseil-cpp-malloc-internal (20230802.1-r0) (214/302) Installing abseil-cpp-synchronization (20230802.1-r0) (215/302) Installing abseil-cpp-log-internal-log-sink-set (20230802.1-r0) (216/302) Installing abseil-cpp-log-internal-proto (20230802.1-r0) (217/302) Installing abseil-cpp-strerror (20230802.1-r0) (218/302) Installing abseil-cpp-log-internal-message (20230802.1-r0) (219/302) Installing abseil-cpp-raw-hash-set (20230802.1-r0) (220/302) Installing abseil-cpp-crc-internal (20230802.1-r0) (221/302) Installing abseil-cpp-crc32c (20230802.1-r0) (222/302) Installing abseil-cpp-crc-cord-state (20230802.1-r0) (223/302) Installing abseil-cpp-throw-delegate (20230802.1-r0) (224/302) Installing abseil-cpp-cord-internal (20230802.1-r0) (225/302) Installing abseil-cpp-exponential-biased (20230802.1-r0) (226/302) Installing abseil-cpp-cordz-functions (20230802.1-r0) (227/302) Installing abseil-cpp-cordz-handle (20230802.1-r0) (228/302) Installing abseil-cpp-cordz-info (20230802.1-r0) (229/302) Installing abseil-cpp-cord (20230802.1-r0) (230/302) Installing abseil-cpp-status (20230802.1-r0) (231/302) Installing abseil-cpp-statusor (20230802.1-r0) (232/302) Installing abseil-cpp-die-if-null (20230802.1-r0) (233/302) Installing libprotobuf (24.4-r1) (234/302) Installing libprotoc (24.4-r1) (235/302) Installing protoc (24.4-r1) (236/302) Installing libprotobuf-lite (24.4-r1) (237/302) Installing abseil-cpp-atomic-hook-test-helper (20230802.1-r0) (238/302) Installing abseil-cpp-bad-any-cast-impl (20230802.1-r0) (239/302) Installing abseil-cpp-bad-optional-access (20230802.1-r0) (240/302) Installing abseil-cpp-bad-variant-access (20230802.1-r0) (241/302) Installing abseil-cpp-civil-time (20230802.1-r0) (242/302) Installing abseil-cpp-cordz-sample-token (20230802.1-r0) (243/302) Installing abseil-cpp-crc-cpu-detect (20230802.1-r0) (244/302) Installing abseil-cpp-demangle-internal (20230802.1-r0) (245/302) Installing gtest (1.14.0-r1) (246/302) Installing abseil-cpp-exception-safety-testing (20230802.1-r0) (247/302) Installing abseil-cpp-failure-signal-handler (20230802.1-r0) (248/302) Installing abseil-cpp-flags-commandlineflag-internal (20230802.1-r0) (249/302) Installing abseil-cpp-flags-commandlineflag (20230802.1-r0) (250/302) Installing abseil-cpp-flags-program-name (20230802.1-r0) (251/302) Installing abseil-cpp-flags-config (20230802.1-r0) (252/302) Installing abseil-cpp-flags-internal (20230802.1-r0) (253/302) Installing abseil-cpp-flags-marshalling (20230802.1-r0) (254/302) Installing abseil-cpp-flags-private-handle-accessor (20230802.1-r0) (255/302) Installing abseil-cpp-flags-reflection (20230802.1-r0) (256/302) Installing abseil-cpp-flags-usage (20230802.1-r0) (257/302) Installing abseil-cpp-flags-usage-internal (20230802.1-r0) (258/302) Installing abseil-cpp-flags-parse (20230802.1-r0) (259/302) Installing abseil-cpp-flags (20230802.1-r0) (260/302) Installing abseil-cpp-graphcycles-internal (20230802.1-r0) (261/302) Installing abseil-cpp-hash-generator-testing (20230802.1-r0) (262/302) Installing abseil-cpp-hashtablez-sampler (20230802.1-r0) (263/302) Installing abseil-cpp-leak-check (20230802.1-r0) (264/302) Installing abseil-cpp-log-entry (20230802.1-r0) (265/302) Installing abseil-cpp-log-flags (20230802.1-r0) (266/302) Installing abseil-cpp-log-severity (20230802.1-r0) (267/302) Installing abseil-cpp-log-internal-test-actions (20230802.1-r0) (268/302) Installing abseil-cpp-log-internal-test-helpers (20230802.1-r0) (269/302) Installing abseil-cpp-log-internal-test-matchers (20230802.1-r0) (270/302) Installing abseil-cpp-per-thread-sem-test-common (20230802.1-r0) (271/302) Installing abseil-cpp-periodic-sampler (20230802.1-r0) (272/302) Installing abseil-cpp-pow10-helper (20230802.1-r0) (273/302) Installing abseil-cpp-random-distributions (20230802.1-r0) (274/302) Installing abseil-cpp-random-internal-distribution-test-util (20230802.1-r0) (275/302) Installing abseil-cpp-random-internal-platform (20230802.1-r0) (276/302) Installing abseil-cpp-random-internal-randen-slow (20230802.1-r0) (277/302) Installing abseil-cpp-random-internal-randen (20230802.1-r0) (278/302) Installing abseil-cpp-random-internal-seed-material (20230802.1-r0) (279/302) Installing abseil-cpp-random-seed-gen-exception (20230802.1-r0) (280/302) Installing abseil-cpp-random-internal-pool-urbg (20230802.1-r0) (281/302) Installing abseil-cpp-random-internal-randen-hwaes-impl (20230802.1-r0) (282/302) Installing abseil-cpp-random-internal-randen-hwaes (20230802.1-r0) (283/302) Installing abseil-cpp-random-seed-sequences (20230802.1-r0) (284/302) Installing gmock (1.14.0-r1) (285/302) Installing abseil-cpp-scoped-mock-log (20230802.1-r0) (286/302) Installing abseil-cpp-scoped-set-env (20230802.1-r0) (287/302) Installing abseil-cpp-spinlock-test-common (20230802.1-r0) (288/302) Installing abseil-cpp-stack-consumption (20230802.1-r0) (289/302) Installing abseil-cpp-string-view (20230802.1-r0) (290/302) Installing abseil-cpp-test-instance-tracker (20230802.1-r0) (291/302) Installing abseil-cpp-time-internal-test-util (20230802.1-r0) (292/302) Installing abseil-cpp-dev (20230802.1-r0) (293/302) Installing protobuf-dev (24.4-r1) (294/302) Installing libhistory (8.2.10-r0) (295/302) Installing readline-dev (8.2.10-r0) (296/302) Installing tzdata (2023d-r0) (297/302) Installing tcl (8.6.13-r1) (298/302) Installing tcl-dev (8.6.13-r1) (299/302) Installing .makedepends-yosys (20240118.235425) (300/302) Installing perl-error (0.17029-r2) (301/302) Installing perl-git (2.43.0-r0) (302/302) Installing git-perl (2.43.0-r0) Executing busybox-1.36.1-r19.trigger Executing glib-2.78.3-r0.trigger No schema files found: removed existing output file. OK: 1099 MiB in 415 packages >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Cleaning up tmpdir >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.36.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 2625k 100 2625k 0 0 15.3M 0 --:--:-- --:--:-- --:--:-- 15.4M >>> yosys: Fetching https://distfiles.alpinelinux.org/distfiles/edge/yosys-0.36.tar.gz >>> yosys: Checking sha512sums... yosys-0.36.tar.gz: OK >>> yosys: Unpacking /var/cache/distfiles/edge/yosys-0.36.tar.gz... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.11 -lboost_python311 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 [Makefile.conf] ENABLE_ABC:=1 [ 0%] Building kernel/version_8f07a0d8404.cc [ 0%] Building kernel/celltypes.pyh [ 0%] Building kernel/consteval.pyh [ 0%] Building kernel/log.pyh [ 0%] Building kernel/register.pyh [ 0%] Building kernel/rtlil.pyh [ 0%] Building kernel/sigtools.pyh [ 0%] Building kernel/yosys.pyh [ 0%] Building kernel/cost.pyh [ 0%] Building kernel/driver.o [ 0%] Building techlibs/common/simlib_help.inc [ 0%] Building techlibs/common/simcells_help.inc [ 1%] Building kernel/rtlil.o [ 1%] Building kernel/log.o [ 2%] Building kernel/calc.o [ 2%] Building kernel/yosys.o [ 2%] Building kernel/binding.o [ 3%] Building kernel/cellaigs.o [ 3%] Building kernel/celledges.o [ 3%] Building kernel/satgen.o [ 4%] Building kernel/qcsat.o [ 4%] Building kernel/mem.o [ 4%] Building kernel/ffmerge.o [ 4%] Building kernel/ff.o [ 5%] Building kernel/yw.o [ 5%] Building kernel/json.o [ 5%] Building kernel/fmt.o [ 6%] Building kernel/fstdata.o [ 6%] Building libs/bigint/BigIntegerAlgorithms.o [ 6%] Building libs/bigint/BigInteger.o [ 7%] Building libs/bigint/BigIntegerUtils.o [ 7%] Building libs/bigint/BigUnsigned.o [ 7%] Building libs/bigint/BigUnsignedInABase.o [ 8%] Building libs/sha1/sha1.o [ 8%] Building libs/json11/json11.o [ 8%] Building libs/subcircuit/subcircuit.o [ 9%] Building libs/ezsat/ezsat.o [ 9%] Building libs/ezsat/ezminisat.o [ 9%] Building libs/minisat/Options.o [ 9%] Building libs/minisat/SimpSolver.o [ 10%] Building libs/minisat/Solver.o [ 10%] Building libs/minisat/System.o [ 10%] Building libs/fst/fstapi.o [ 11%] Building libs/fst/fastlz.o [ 11%] Building libs/fst/lz4.o [ 11%] Building frontends/aiger/aigerparse.o libs/fst/fstapi.cc:5539:18: warning: variable 'secnum' set but not used [-Wunused-but-set-variable] 5539 | unsigned int secnum = 0; | ^ [ 12%] Building frontends/ast/ast.o [ 12%] Building frontends/ast/simplify.o [ 12%] Building frontends/ast/genrtlil.o [ 13%] Building frontends/ast/dpicall.o [ 13%] Building frontends/ast/ast_binding.o [ 13%] Building frontends/blif/blifparse.o 1 warning generated. [ 14%] Building frontends/json/jsonparse.o [ 14%] Building frontends/liberty/liberty.o [ 14%] Building frontends/rpc/rpc_frontend.o [ 14%] Building frontends/rtlil/rtlil_parser.tab.cc [ 14%] Building frontends/rtlil/rtlil_lexer.cc [ 15%] Building frontends/rtlil/rtlil_frontend.o [ 15%] Building frontends/verific/verific.o [ 15%] Building frontends/verilog/verilog_parser.tab.cc [ 16%] Building frontends/verilog/preproc.o [ 17%] Building frontends/verilog/verilog_frontend.o [ 17%] Building frontends/verilog/const2ast.o frontends/ast/simplify.cc:208:9: warning: format specifies type 'unsigned long' but the argument has type 'size_type' (aka 'unsigned int') [-Wformat] 207 | log_error("enum_item children size==%lu, expected 1 or 2 for %s (%s)\n", | ~~~ | %zu 208 | enum_item->children.size(), | ^~~~~~~~~~~~~~~~~~~~~~~~~~ [ 17%] Building passes/cmds/exec.o [ 18%] Building passes/cmds/add.o [ 18%] Building passes/cmds/delete.o [ 18%] Building passes/cmds/design.o [ 18%] Building passes/cmds/select.o [ 19%] Building passes/cmds/show.o [ 19%] Building passes/cmds/viz.o [ 19%] Building passes/cmds/rename.o [ 20%] Building passes/cmds/autoname.o [ 20%] Building passes/cmds/connect.o [ 20%] Building passes/cmds/scatter.o [ 21%] Building passes/cmds/setundef.o [ 21%] Building passes/cmds/splitnets.o [ 21%] Building passes/cmds/splitcells.o [ 22%] Building passes/cmds/stat.o [ 22%] Building passes/cmds/setattr.o [ 22%] Building passes/cmds/copy.o [ 23%] Building passes/cmds/splice.o [ 23%] Building passes/cmds/scc.o [ 23%] Building passes/cmds/glift.o [ 23%] Building passes/cmds/torder.o [ 24%] Building passes/cmds/logcmd.o [ 24%] Building passes/cmds/tee.o [ 24%] Building passes/cmds/write_file.o [ 25%] Building passes/cmds/connwrappers.o [ 25%] Building passes/cmds/cover.o [ 25%] Building passes/cmds/trace.o [ 26%] Building passes/cmds/plugin.o [ 26%] Building passes/cmds/check.o [ 26%] Building passes/cmds/qwp.o [ 27%] Building passes/cmds/edgetypes.o [ 27%] Building passes/cmds/portlist.o [ 27%] Building passes/cmds/chformal.o [ 28%] Building passes/cmds/chtype.o [ 28%] Building passes/cmds/blackbox.o [ 28%] Building passes/cmds/ltp.o [ 28%] Building passes/cmds/bugpoint.o [ 29%] Building passes/cmds/scratchpad.o [ 29%] Building passes/cmds/logger.o [ 29%] Building passes/cmds/printattrs.o [ 30%] Building passes/cmds/sta.o [ 30%] Building passes/cmds/clean_zerowidth.o [ 30%] Building passes/cmds/xprop.o [ 31%] Building passes/cmds/dft_tag.o [ 31%] Building passes/cmds/future.o [ 31%] Building passes/equiv/equiv_make.o 1 warning generated. [ 32%] Building passes/equiv/equiv_miter.o [ 32%] Building passes/equiv/equiv_simple.o [ 32%] Building passes/equiv/equiv_status.o [ 33%] Building passes/equiv/equiv_add.o [ 33%] Building passes/equiv/equiv_remove.o [ 33%] Building passes/equiv/equiv_induct.o [ 33%] Building passes/equiv/equiv_struct.o [ 34%] Building passes/equiv/equiv_purge.o [ 34%] Building passes/equiv/equiv_mark.o [ 34%] Building passes/equiv/equiv_opt.o [ 35%] Building passes/fsm/fsm.o [ 35%] Building passes/fsm/fsm_detect.o [ 35%] Building passes/fsm/fsm_extract.o [ 36%] Building passes/fsm/fsm_opt.o [ 36%] Building passes/fsm/fsm_expand.o [ 36%] Building passes/fsm/fsm_recode.o [ 37%] Building passes/fsm/fsm_info.o [ 37%] Building passes/fsm/fsm_export.o [ 37%] Building passes/fsm/fsm_map.o [ 37%] Building passes/hierarchy/hierarchy.o [ 38%] Building passes/hierarchy/uniquify.o [ 38%] Building passes/hierarchy/submod.o [ 38%] Building passes/memory/memory.o [ 39%] Building passes/memory/memory_dff.o [ 39%] Building passes/memory/memory_share.o [ 39%] Building passes/memory/memory_collect.o [ 40%] Building passes/memory/memory_unpack.o [ 40%] Building passes/memory/memory_bram.o [ 40%] Building passes/memory/memory_map.o [ 41%] Building passes/memory/memory_memx.o [ 41%] Building passes/memory/memory_nordff.o [ 41%] Building passes/memory/memory_narrow.o [ 42%] Building passes/memory/memory_libmap.o [ 42%] Building passes/memory/memory_bmux2rom.o [ 42%] Building passes/memory/memlib.o [ 42%] Building passes/opt/opt.o [ 43%] Building passes/opt/opt_merge.o [ 43%] Building passes/opt/opt_mem.o [ 43%] Building passes/opt/opt_mem_feedback.o [ 44%] Building passes/opt/opt_mem_priority.o [ 44%] Building passes/opt/opt_mem_widen.o [ 44%] Building passes/opt/opt_muxtree.o [ 45%] Building passes/opt/opt_reduce.o [ 45%] Building passes/opt/opt_dff.o [ 45%] Building passes/opt/opt_share.o [ 46%] Building passes/opt/opt_clean.o [ 46%] Building passes/opt/opt_expr.o [ 46%] Building passes/opt/share.o [ 47%] Building passes/opt/wreduce.o [ 47%] Building passes/opt/opt_demorgan.o passes/memory/memory_libmap.cc:693:74: warning: format specifies type 'unsigned long' but the argument has type 'size_type' (aka 'unsigned int') [-Wformat] 693 | log_reject(stringf("Assigning write ports... (candidate configs: %lu)", cfgs.size())); | ~~~ ^~~~~~~~~~~ | %zu passes/memory/memory_libmap.cc:742:73: warning: format specifies type 'unsigned long' but the argument has type 'size_type' (aka 'unsigned int') [-Wformat] 742 | log_reject(stringf("Assigning read ports... (candidate configs: %lu)", cfgs.size())); | ~~~ ^~~~~~~~~~~ | %zu passes/memory/memory_libmap.cc:903:74: warning: format specifies type 'unsigned long' but the argument has type 'size_type' (aka 'unsigned int') [-Wformat] 903 | log_reject(stringf("Handling transparency... (candidate configs: %lu)", cfgs.size())); | ~~~ ^~~~~~~~~~~ | %zu [ 47%] Building passes/opt/rmports.o [ 47%] Building passes/opt/opt_lut.o [ 48%] Building passes/opt/opt_lut_ins.o [ 48%] Building passes/opt/opt_ffinv.o [ 48%] Building passes/opt/pmux2shiftx.o [ 49%] Building passes/opt/muxpack.o [ 49%] Building passes/pmgen/test_pmgen_pm.h [ 49%] Building passes/pmgen/ice40_dsp_pm.h [ 49%] Building passes/pmgen/peepopt_pm.h [ 49%] Building passes/pmgen/xilinx_srl_pm.h [ 49%] Building passes/pmgen/ice40_dsp.o [ 49%] Building passes/pmgen/ice40_wrapcarry_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_pm.h [ 49%] Building passes/pmgen/xilinx_dsp48a_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_CREG_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_cascade_pm.h [ 50%] Building passes/pmgen/peepopt.o [ 51%] Building passes/pmgen/xilinx_srl.o [ 51%] Building passes/proc/proc.o [ 51%] Building passes/proc/proc_prune.o [ 52%] Building passes/proc/proc_clean.o [ 52%] Building passes/proc/proc_rmdead.o [ 52%] Building passes/proc/proc_init.o [ 52%] Building passes/proc/proc_arst.o [ 53%] Building passes/proc/proc_rom.o [ 53%] Building passes/proc/proc_mux.o [ 53%] Building passes/proc/proc_dlatch.o [ 54%] Building passes/proc/proc_dff.o [ 54%] Building passes/proc/proc_memwr.o [ 54%] Building passes/sat/sat.o [ 55%] Building passes/sat/freduce.o [ 55%] Building passes/sat/eval.o [ 55%] Building passes/sat/sim.o [ 56%] Building passes/sat/miter.o [ 56%] Building passes/sat/expose.o [ 56%] Building passes/sat/assertpmux.o [ 56%] Building passes/sat/clk2fflogic.o [ 57%] Building passes/sat/async2sync.o [ 57%] Building passes/sat/formalff.o [ 57%] Building passes/sat/supercover.o [ 58%] Building passes/sat/fmcombine.o [ 58%] Building passes/sat/mutate.o [ 58%] Building passes/sat/cutpoint.o [ 59%] Building passes/sat/fminit.o [ 59%] Building passes/sat/recover_names.o [ 59%] Building passes/sat/qbfsat.o [ 60%] Building passes/sat/synthprop.o [ 60%] Building passes/techmap/flatten.o [ 60%] Building passes/techmap/techmap.o [ 61%] Building passes/techmap/simplemap.o [ 61%] Building passes/techmap/dfflibmap.o [ 61%] Building passes/techmap/maccmap.o [ 61%] Building passes/techmap/booth.o [ 62%] Building passes/techmap/libparse.o [ 62%] Building passes/techmap/abc.o [ 62%] Building passes/techmap/abc9.o passes/sat/recover_names.cc:626:51: warning: format specifies type 'unsigned long' but the argument has type 'unsigned long long' [-Wformat] 626 | log_debug("equivalence class: %016lx\n", cls.first); | ~~~~~~ ^~~~~~~~~ | %016llx ./kernel/log.h:151:52: note: expanded from macro 'log_debug' 151 | # define log_debug(...) do { if (ys_debug(1)) log(__VA_ARGS__); } while (0) | ^~~~~~~~~~~ 3 warnings generated. [ 63%] Building passes/techmap/abc9_exe.o [ 63%] Building passes/techmap/abc9_ops.o [ 63%] Building passes/techmap/iopadmap.o [ 64%] Building passes/techmap/clkbufmap.o [ 64%] Building passes/techmap/hilomap.o [ 64%] Building passes/techmap/extract.o [ 65%] Building passes/techmap/extract_fa.o [ 65%] Building passes/techmap/extract_counter.o [ 65%] Building passes/techmap/extract_reduce.o [ 66%] Building passes/techmap/alumacc.o [ 66%] Building passes/techmap/dffinit.o [ 66%] Building passes/techmap/pmuxtree.o [ 66%] Building passes/techmap/bmuxmap.o [ 67%] Building passes/techmap/demuxmap.o [ 67%] Building passes/techmap/bwmuxmap.o [ 67%] Building passes/techmap/muxcover.o [ 68%] Building passes/techmap/aigmap.o [ 68%] Building passes/techmap/tribuf.o [ 68%] Building passes/techmap/lut2mux.o [ 69%] Building passes/techmap/nlutmap.o [ 69%] Building passes/techmap/shregmap.o [ 69%] Building passes/techmap/deminout.o [ 70%] Building passes/techmap/insbuf.o [ 70%] Building passes/techmap/attrmvcp.o [ 70%] Building passes/techmap/attrmap.o [ 71%] Building passes/techmap/zinit.o [ 71%] Building passes/techmap/dfflegalize.o [ 71%] Building passes/techmap/dffunmap.o [ 71%] Building passes/techmap/flowmap.o [ 72%] Building passes/techmap/extractinv.o [ 72%] Building passes/tests/test_autotb.o [ 72%] Building passes/tests/test_cell.o [ 73%] Building passes/tests/test_abcloop.o [ 73%] Building backends/aiger/aiger.o [ 73%] Building backends/aiger/xaiger.o [ 74%] Building backends/blif/blif.o [ 74%] Building backends/btor/btor.o [ 74%] Building backends/cxxrtl/cxxrtl_backend.o [ 75%] Building backends/edif/edif.o [ 75%] Building backends/firrtl/firrtl.o [ 75%] Building backends/intersynth/intersynth.o [ 75%] Building backends/jny/jny.o [ 76%] Building backends/json/json.o [ 76%] Building backends/rtlil/rtlil_backend.o [ 76%] Building backends/simplec/simplec.o [ 77%] Building backends/smt2/smt2.o [ 77%] Building backends/smv/smv.o [ 77%] Building backends/spice/spice.o [ 78%] Building backends/table/table.o [ 78%] Building backends/verilog/verilog_backend.o [ 78%] Building techlibs/achronix/synth_achronix.o [ 79%] Building techlibs/anlogic/synth_anlogic.o [ 79%] Building techlibs/anlogic/anlogic_eqn.o [ 79%] Building techlibs/anlogic/anlogic_fixcarry.o [ 80%] Building techlibs/common/synth.o [ 80%] Building techlibs/common/prep.o 1 warning generated. [ 80%] Building techlibs/coolrunner2/synth_coolrunner2.o [ 80%] Building techlibs/coolrunner2/coolrunner2_sop.o [ 81%] Building techlibs/coolrunner2/coolrunner2_fixup.o [ 81%] Building techlibs/easic/synth_easic.o [ 81%] Building techlibs/ecp5/synth_ecp5.o [ 82%] Building techlibs/efinix/synth_efinix.o [ 82%] Building techlibs/efinix/efinix_fixcarry.o [ 82%] Building techlibs/fabulous/synth_fabulous.o [ 83%] Building techlibs/gatemate/synth_gatemate.o [ 83%] Building techlibs/gatemate/gatemate_foldinv.o [ 83%] Building techlibs/gowin/synth_gowin.o [ 84%] Building techlibs/greenpak4/synth_greenpak4.o [ 84%] Building techlibs/greenpak4/greenpak4_dffinv.o [ 84%] Building techlibs/ice40/synth_ice40.o [ 85%] Building techlibs/ice40/ice40_braminit.o [ 85%] Building techlibs/ice40/ice40_opt.o [ 85%] Building techlibs/intel/synth_intel.o [ 85%] Building techlibs/intel_alm/synth_intel_alm.o [ 86%] Building techlibs/lattice/synth_lattice.o [ 86%] Building techlibs/lattice/lattice_gsr.o [ 86%] Building techlibs/nexus/synth_nexus.o [ 87%] Building techlibs/quicklogic/synth_quicklogic.o [ 87%] Building techlibs/quicklogic/ql_bram_merge.o [ 87%] Building techlibs/quicklogic/ql_bram_types.o [ 88%] Building techlibs/quicklogic/ql_dsp_simd.o [ 88%] Building techlibs/quicklogic/ql_dsp_io_regs.o [ 88%] Building techlibs/quicklogic/ql_dsp_macc_pm.h [ 89%] Building techlibs/sf2/synth_sf2.o [ 89%] Building techlibs/xilinx/synth_xilinx.o [ 89%] Building techlibs/xilinx/xilinx_dffopt.o [ 99%] Building yosys-config [ 99%] Building passes/techmap/filterlib.o [ 99%] Building yosys-smtbmc [ 99%] Building yosys-witness [ 99%] Building share/include/kernel/binding.h [ 99%] Building share/include/kernel/cellaigs.h [ 99%] Building share/include/kernel/celledges.h [ 99%] Building share/include/kernel/celltypes.h [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/constids.inc [ 99%] Building share/include/kernel/cost.h [ 99%] Building share/include/kernel/ff.h [ 99%] Building share/include/kernel/ffinit.h [ 99%] Building share/include/kernel/ffmerge.h [ 99%] Building share/include/kernel/fmt.h [ 99%] Building share/include/kernel/fstdata.h [ 99%] Building share/include/kernel/hashlib.h [ 99%] Building share/include/kernel/json.h [ 99%] Building share/include/kernel/log.h [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/modtools.h [ 99%] Building share/include/kernel/mem.h [ 99%] Building share/include/kernel/qcsat.h [ 99%] Building share/include/kernel/register.h [ 99%] Building share/include/kernel/rtlil.h [ 99%] Building share/include/kernel/satgen.h [ 99%] Building share/include/kernel/sigtools.h [ 99%] Building share/include/kernel/timinginfo.h [ 99%] Building share/include/kernel/utils.h [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/yw.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 99%] Building share/include/libs/fst/fstapi.h [ 99%] Building share/include/libs/sha1/sha1.h [ 99%] Building share/include/libs/json11/json11.hpp [ 99%] Building share/include/passes/fsm/fsmdata.h [ 99%] Building share/include/frontends/ast/ast.h [ 99%] Building share/include/frontends/ast/ast_binding.h [ 99%] Building share/include/frontends/blif/blifparse.h [ 99%] Building share/include/backends/rtlil/rtlil_backend.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h [ 99%] Building share/python3/smtio.py [ 99%] Building share/python3/ywio.py [ 99%] Building share/achronix/speedster22i/cells_sim.v [ 99%] Building share/achronix/speedster22i/cells_map.v [ 99%] Building share/anlogic/cells_map.v [ 99%] Building share/anlogic/arith_map.v [ 99%] Building share/anlogic/cells_sim.v [ 99%] Building share/anlogic/eagle_bb.v [ 99%] Building share/anlogic/lutrams.txt [ 99%] Building share/anlogic/lutrams_map.v [ 99%] Building share/anlogic/brams.txt [ 99%] Building share/anlogic/brams_map.v [ 99%] Building share/simlib.v [ 99%] Building share/simcells.v [ 99%] Building share/techmap.v [ 99%] Building share/smtmap.v [ 99%] Building share/pmux2mux.v [ 99%] Building share/adff2dff.v [ 99%] Building share/dff2ff.v [ 99%] Building share/gate2lut.v [ 99%] Building share/cmp2lut.v [ 99%] Building share/cells.lib [ 99%] Building share/mul2dsp.v [ 99%] Building share/abc9_model.v [ 99%] Building share/abc9_map.v [ 99%] Building share/abc9_unmap.v [ 99%] Building share/cmp2lcu.v [ 99%] Building share/cmp2softlogic.v [ 99%] Building share/coolrunner2/cells_latch.v [ 99%] Building share/coolrunner2/cells_sim.v [ 99%] Building share/coolrunner2/cells_counter_map.v [ 99%] Building share/coolrunner2/tff_extract.v [ 99%] Building share/coolrunner2/xc2_dff.lib [ 99%] Building share/ecp5/cells_ff.vh [ 99%] Building share/ecp5/cells_io.vh [ 99%] Building share/ecp5/cells_map.v [ 99%] Building share/ecp5/cells_sim.v [ 99%] Building share/ecp5/cells_bb.v [ 99%] Building share/ecp5/lutrams_map.v [ 99%] Building share/ecp5/lutrams.txt [ 99%] Building share/ecp5/brams_map.v [ 99%] Building share/ecp5/brams.txt [ 99%] Building share/ecp5/arith_map.v [ 99%] Building share/ecp5/latches_map.v [ 99%] Building share/ecp5/dsp_map.v [ 99%] Building share/efinix/cells_map.v [ 99%] Building share/efinix/arith_map.v [ 99%] Building share/efinix/cells_sim.v [ 99%] Building share/efinix/brams_map.v [ 99%] Building share/efinix/gbuf_map.v [ 99%] Building share/efinix/brams.txt [ 99%] Building share/fabulous/cells_map.v [ 99%] Building share/fabulous/prims.v [ 99%] Building share/fabulous/latches_map.v [ 99%] Building share/fabulous/ff_map.v [ 99%] Building share/fabulous/ram_regfile.txt [ 99%] Building share/fabulous/regfile_map.v [ 99%] Building share/fabulous/io_map.v [ 99%] Building share/fabulous/arith_map.v [ 99%] Building share/gatemate/reg_map.v [ 99%] Building share/gatemate/mux_map.v [ 99%] Building share/gatemate/lut_map.v [ 99%] Building share/gatemate/mul_map.v [ 99%] Building share/gatemate/arith_map.v [ 99%] Building share/gatemate/cells_sim.v [ 99%] Building share/gatemate/cells_bb.v [ 99%] Building share/gatemate/brams_map.v [ 99%] Building share/gatemate/brams.txt [ 99%] Building share/gatemate/brams_init_20.vh [ 99%] Building share/gatemate/brams_init_40.vh [ 99%] Building share/gatemate/inv_map.v [ 99%] Building techlibs/gatemate/lut_tree_lib.mk [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 99%] Building share/gowin/cells_xtra.v [ 99%] Building share/gowin/arith_map.v [ 99%] Building share/gowin/brams_map.v [ 99%] Building share/gowin/brams.txt [ 99%] Building share/gowin/lutrams_map.v [ 99%] Building share/gowin/lutrams.txt [ 99%] Building share/greenpak4/cells_blackbox.v [ 99%] Building share/greenpak4/cells_latch.v [ 99%] Building share/greenpak4/cells_map.v [ 99%] Building share/greenpak4/cells_sim.v [ 99%] Building share/greenpak4/cells_sim_ams.v [ 99%] Building share/greenpak4/cells_sim_digital.v [ 99%] Building share/greenpak4/cells_sim_wip.v [ 99%] Building share/greenpak4/gp_dff.lib [ 99%] Building share/ice40/arith_map.v [ 99%] Building share/ice40/cells_map.v [ 99%] Building share/ice40/ff_map.v [ 99%] Building share/ice40/cells_sim.v [ 99%] Building share/ice40/latches_map.v [ 99%] Building share/ice40/brams.txt [ 99%] Building share/ice40/brams_map.v [ 99%] Building share/ice40/spram.txt [ 99%] Building share/ice40/spram_map.v [ 99%] Building share/ice40/dsp_map.v [ 99%] Building share/ice40/abc9_model.v [ 99%] Building share/intel/common/m9k_bb.v [ 99%] Building share/intel/common/altpll_bb.v [ 99%] Building share/intel/common/brams_m9k.txt [ 99%] Building share/intel/common/brams_map_m9k.v [ 99%] Building share/intel/common/ff_map.v [ 99%] Building share/intel/max10/cells_sim.v [ 99%] Building share/intel/cyclone10lp/cells_sim.v [ 99%] Building share/intel/cycloneiv/cells_sim.v [ 99%] Building share/intel/cycloneive/cells_sim.v [ 99%] Building share/intel/max10/cells_map.v [ 99%] Building share/intel/cyclone10lp/cells_map.v [ 99%] Building share/intel/cycloneiv/cells_map.v [ 99%] Building share/intel/cycloneive/cells_map.v [ 99%] Building share/intel_alm/common/abc9_map.v [ 99%] Building share/intel_alm/common/abc9_unmap.v [ 99%] Building share/intel_alm/common/abc9_model.v [ 99%] Building share/intel_alm/common/alm_map.v [ 99%] Building share/intel_alm/common/alm_sim.v [ 99%] Building share/intel_alm/common/arith_alm_map.v [ 99%] Building share/intel_alm/common/dff_map.v [ 99%] Building share/intel_alm/common/dff_sim.v [ 99%] Building share/intel_alm/common/dsp_sim.v [ 99%] Building share/intel_alm/common/dsp_map.v [ 99%] Building share/intel_alm/common/mem_sim.v [ 99%] Building share/intel_alm/common/misc_sim.v [ 99%] Building share/intel_alm/cyclonev/cells_sim.v [ 99%] Building share/intel_alm/common/bram_m10k.txt [ 99%] Building share/intel_alm/common/bram_m10k_map.v [ 99%] Building share/intel_alm/common/bram_m20k.txt [ 99%] Building share/intel_alm/common/bram_m20k_map.v [ 99%] Building share/intel_alm/common/lutram_mlab.txt [ 99%] Building share/intel_alm/common/megafunction_bb.v [ 99%] Building share/intel_alm/common/quartus_rename.v [ 99%] Building share/lattice/cells_ff.vh [ 99%] Building share/lattice/cells_io.vh [ 99%] Building share/lattice/cells_map.v [ 99%] Building share/lattice/common_sim.vh [ 99%] Building share/lattice/ccu2d_sim.vh [ 99%] Building share/lattice/ccu2c_sim.vh [ 99%] Building share/lattice/cells_sim_ecp5.v [ 99%] Building share/lattice/cells_sim_xo2.v [ 99%] Building share/lattice/cells_sim_xo3.v [ 99%] Building share/lattice/cells_sim_xo3d.v [ 99%] Building share/lattice/cells_bb_ecp5.v [ 99%] Building share/lattice/cells_bb_xo2.v [ 99%] Building share/lattice/cells_bb_xo3.v [ 99%] Building share/lattice/cells_bb_xo3d.v [ 99%] Building share/lattice/lutrams_map.v [ 99%] Building share/lattice/lutrams.txt [ 99%] Building share/lattice/brams_map_16kd.v [ 99%] Building share/lattice/brams_16kd.txt [ 99%] Building share/lattice/brams_map_8kc.v [ 99%] Building share/lattice/brams_8kc.txt [ 99%] Building share/lattice/arith_map_ccu2c.v [ 99%] Building share/lattice/arith_map_ccu2d.v [ 99%] Building share/lattice/latches_map.v [ 99%] Building share/lattice/dsp_map_18x18.v [ 99%] Building share/nexus/cells_map.v [ 99%] Building share/nexus/cells_sim.v [ 99%] Building share/nexus/parse_init.vh [ 99%] Building share/nexus/cells_xtra.v [ 99%] Building share/nexus/lutrams_map.v [ 99%] Building share/nexus/lutrams.txt [ 99%] Building share/nexus/brams_map.v [ 99%] Building share/nexus/brams.txt [ 99%] Building share/nexus/lrams_map.v [ 99%] Building share/nexus/lrams.txt [ 99%] Building share/nexus/arith_map.v [ 99%] Building share/nexus/latches_map.v [ 99%] Building share/nexus/dsp_map.v [ 99%] Building share/quicklogic/common/cells_sim.v [ 99%] Building share/quicklogic/pp3/ffs_map.v [ 99%] Building share/quicklogic/pp3/lut_map.v [ 99%] Building share/quicklogic/pp3/latches_map.v [ 99%] Building share/quicklogic/pp3/cells_map.v [ 99%] Building share/quicklogic/pp3/cells_sim.v [ 99%] Building share/quicklogic/pp3/abc9_model.v [ 99%] Building share/quicklogic/pp3/abc9_map.v [ 99%] Building share/quicklogic/pp3/abc9_unmap.v [ 99%] Building share/quicklogic/qlf_k6n10f/arith_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/libmap_brams.txt [ 99%] Building share/quicklogic/qlf_k6n10f/libmap_brams_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/brams_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/brams_sim.v [ 99%] Building techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v [ 99%] Building share/quicklogic/qlf_k6n10f/cells_sim.v [ 99%] Building share/quicklogic/qlf_k6n10f/ffs_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_sim.v [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_final_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v [ 99%] Building share/quicklogic/qlf_k6n10f/ufifo_ctl.v [ 99%] Building share/quicklogic/qlf_k6n10f/sram1024x18_mem.v [ 99%] Building share/sf2/arith_map.v [ 99%] Building share/sf2/cells_map.v [ 99%] Building share/sf2/cells_sim.v [ 99%] Building share/xilinx/cells_map.v [ 99%] Building share/xilinx/cells_sim.v [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/lutrams_xcv.txt [ 99%] Building share/xilinx/lutrams_xcv_map.v [ 99%] Building share/xilinx/lutrams_xc5v.txt [ 99%] Building share/xilinx/lutrams_xcu.txt [ 99%] Building share/xilinx/lutrams_xc5v_map.v [ 99%] Building share/xilinx/brams_xcv.txt [ 99%] Building share/xilinx/brams_xcv_map.v [ 99%] Building share/xilinx/brams_defs.vh [ 99%] Building share/xilinx/brams_xc2v.txt [ 99%] Building share/xilinx/brams_xc2v_map.v [ 99%] Building share/xilinx/brams_xc3sda.txt [ 99%] Building share/xilinx/brams_xc3sda_map.v [ 99%] Building share/xilinx/brams_xc4v.txt [ 99%] Building share/xilinx/brams_xc4v_map.v [ 99%] Building share/xilinx/brams_xc5v_map.v [ 99%] Building share/xilinx/brams_xc6v_map.v [ 99%] Building share/xilinx/brams_xcu_map.v [ 99%] Building share/xilinx/urams.txt [ 99%] Building share/xilinx/urams_map.v [ 99%] Building share/xilinx/arith_map.v [ 99%] Building share/xilinx/ff_map.v [ 99%] Building share/xilinx/lut_map.v [ 99%] Building share/xilinx/mux_map.v [ 99%] Building share/xilinx/xc3s_mult_map.v [ 99%] Building share/xilinx/xc3sda_dsp_map.v [ 99%] Building share/xilinx/xc6s_dsp_map.v [ 99%] Building share/xilinx/xc4v_dsp_map.v [ 99%] Building share/xilinx/xc5v_dsp_map.v [ 99%] Building share/xilinx/xc7_dsp_map.v [ 99%] Building share/xilinx/xcu_dsp_map.v [ 99%] Building share/xilinx/abc9_model.v [ 99%] Building kernel/version_8f07a0d8404.o [ 99%] Building kernel/python_wrappers.cc [ 99%] Building kernel/register.o [ 99%] Building frontends/rtlil/rtlil_parser.tab.o [ 99%] Building frontends/rtlil/rtlil_lexer.o [ 99%] Building frontends/verilog/verilog_parser.tab.o [ 99%] Building frontends/verilog/verilog_lexer.cc [ 99%] Building passes/pmgen/test_pmgen.o [ 99%] Building passes/pmgen/ice40_wrapcarry.o [ 99%] Building passes/pmgen/xilinx_dsp.o [ 99%] Building techlibs/quicklogic/ql_dsp_macc.o [ 99%] Building yosys-filterlib [ 99%] Building share/gatemate/lut_tree_cells.genlib [ 99%] Building share/gatemate/lut_tree_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/bram_types_sim.v [ 99%] Building frontends/verilog/verilog_lexer.o frontends/verilog/verilog_parser.tab.cc:3655:9: warning: variable 'frontend_verilog_yynerrs' set but not used [-Wunused-but-set-variable] 3655 | int yynerrs = 0; | ^ frontends/verilog/verilog_parser.tab.cc:74:25: note: expanded from macro 'yynerrs' 74 | #define yynerrs frontend_verilog_yynerrs | ^ 1 warning generated. [ 99%] Building kernel/python_wrappers.o [100%] Building yosys [100%] Building libyosys.so Build successful. >>> yosys: Entering fakeroot... [Makefile.conf] CONFIG:=clang [Makefile.conf] PREFIX:=/usr [Makefile.conf] ABCEXTERNAL:=abc [Makefile.conf] BOOST_PYTHON_LIB:=-lpython3.11 -lboost_python311 [Makefile.conf] ENABLE_LIBYOSYS:=1 [Makefile.conf] ENABLE_NDEBUG:=1 [Makefile.conf] ENABLE_PROTOBUF:=1 [Makefile.conf] ENABLE_PYOSYS:=1 [Makefile.conf] ENABLE_ABC:=1 mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys strip /home/buildozer/aports/testing/yosys/pkg/yosys/usr/bin/yosys-filterlib mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys cp -r share/. /home/buildozer/aports/testing/yosys/pkg/yosys/usr/share/yosys/. mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/ strip -S /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/yosys/libyosys.so mkdir -p /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys cp libyosys.so /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so cp misc/__init__.py /home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/ '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so' -> '/usr/lib/yosys/libyosys.so' >>> yosys-dev*: Running split function dev... >>> yosys-dev*: Preparing subpackage yosys-dev... >>> yosys-dev*: Stripping binaries >>> yosys-dev*: Running postcheck for yosys-dev >>> py3-yosys*: Running split function py3... '/home/buildozer/aports/testing/yosys/pkg/yosys/usr/lib/python3.11' -> '/home/buildozer/aports/testing/yosys/pkg/py3-yosys/usr/lib/python3.11' >>> py3-yosys*: Preparing subpackage py3-yosys... >>> py3-yosys*: Running postcheck for py3-yosys >>> yosys*: Running postcheck for yosys >>> yosys*: Preparing package yosys... >>> yosys*: Stripping binaries >>> py3-yosys*: Scanning shared objects >>> yosys-dev*: Scanning shared objects >>> yosys*: Scanning shared objects >>> py3-yosys*: Tracing dependencies... python3 yosys=0.36-r1 python3~3.11 yosys=0.36-r1 >>> py3-yosys*: Package size: 28.0 KB >>> py3-yosys*: Compressing data... >>> py3-yosys*: Create checksum... >>> py3-yosys*: Create py3-yosys-0.36-r1.apk >>> yosys-dev*: Tracing dependencies... >>> yosys-dev*: Package size: 624.0 KB >>> yosys-dev*: Compressing data... >>> yosys-dev*: Create checksum... >>> yosys-dev*: Create yosys-dev-0.36-r1.apk >>> yosys*: Tracing dependencies... abc so:libboost_filesystem.so.1.82.0 so:libboost_python311.so.1.82.0 so:libc.musl-x86.so.1 so:libffi.so.8 so:libgcc_s.so.1 so:libpython3.11.so.1.0 so:libreadline.so.8 so:libstdc++.so.6 so:libtcl8.6.so so:libz.so.1 >>> yosys*: Package size: 55.5 MB >>> yosys*: Compressing data... >>> yosys*: Create checksum... >>> yosys*: Create yosys-0.36-r1.apk >>> yosys: Build complete at Fri, 19 Jan 2024 00:03:09 +0000 elapsed time 0h 8m 45s >>> yosys: Cleaning up srcdir >>> yosys: Cleaning up pkgdir >>> yosys: Uninstalling dependencies... (1/302) Purging .makedepends-yosys (20240118.235425) (2/302) Purging abc (0_git20240102-r0) (3/302) Purging bash (5.2.26-r0) Executing bash-5.2.26-r0.pre-deinstall (4/302) Purging bison (3.8.2-r1) (5/302) Purging boost-dev (1.82.0-r3) (6/302) Purging boost1.82-dev (1.82.0-r3) (7/302) Purging boost1.82 (1.82.0-r3) (8/302) Purging xz-dev (5.4.5-r0) (9/302) Purging boost1.82-libs (1.82.0-r3) (10/302) Purging boost1.82-atomic (1.82.0-r3) (11/302) Purging boost1.82-container (1.82.0-r3) (12/302) Purging boost1.82-contract (1.82.0-r3) (13/302) Purging boost1.82-coroutine (1.82.0-r3) (14/302) Purging boost1.82-date_time (1.82.0-r3) (15/302) Purging boost1.82-fiber (1.82.0-r3) (16/302) Purging boost1.82-graph (1.82.0-r3) (17/302) Purging boost1.82-iostreams (1.82.0-r3) (18/302) Purging boost1.82-json (1.82.0-r3) (19/302) Purging boost1.82-locale (1.82.0-r3) (20/302) Purging boost1.82-log_setup (1.82.0-r3) (21/302) Purging boost1.82-math (1.82.0-r3) (22/302) Purging boost1.82-nowide (1.82.0-r3) (23/302) Purging boost1.82-prg_exec_monitor (1.82.0-r3) (24/302) Purging boost1.82-program_options (1.82.0-r3) (25/302) Purging boost1.82-python3 (1.82.0-r3) (26/302) Purging boost1.82-random (1.82.0-r3) (27/302) Purging boost1.82-regex (1.82.0-r3) (28/302) Purging boost1.82-stacktrace_basic (1.82.0-r3) (29/302) Purging boost1.82-stacktrace_noop (1.82.0-r3) (30/302) Purging boost1.82-system (1.82.0-r3) (31/302) Purging boost1.82-timer (1.82.0-r3) (32/302) Purging boost1.82-type_erasure (1.82.0-r3) (33/302) Purging boost1.82-unit_test_framework (1.82.0-r3) (34/302) Purging boost1.82-url (1.82.0-r3) (35/302) Purging boost1.82-wave (1.82.0-r3) (36/302) Purging boost1.82-wserialization (1.82.0-r3) (37/302) Purging flex (2.6.4-r6) (38/302) Purging m4 (1.4.19-r3) (39/302) Purging gawk (5.3.0-r0) (40/302) Purging graphviz-dev (9.0.0-r0) (41/302) Purging gd-dev (2.3.3-r8) (42/302) Purging gd (2.3.3-r8) (43/302) Purging libgd (2.3.3-r8) (44/302) Purging gmp-dev (6.3.0-r0) (45/302) Purging libgmpxx (6.3.0-r0) (46/302) Purging libsm-dev (1.2.4-r3) (47/302) Purging pango-dev (1.51.0-r0) (48/302) Purging pango-tools (1.51.0-r0) (49/302) Purging pango (1.51.0-r0) Executing pango-1.51.0-r0.pre-deinstall (50/302) Purging python3-dev (3.11.6-r1) (51/302) Purging graphviz-libs (9.0.0-r0) (52/302) Purging lld (17.0.6-r0) (53/302) Purging protobuf-dev (24.4-r1) (54/302) Purging protoc (24.4-r1) (55/302) Purging libprotobuf-lite (24.4-r1) (56/302) Purging libprotoc (24.4-r1) (57/302) Purging readline-dev (8.2.10-r0) (58/302) Purging libhistory (8.2.10-r0) (59/302) Purging tcl-dev (8.6.13-r1) (60/302) Purging tcl (8.6.13-r1) (61/302) Purging tzdata (2023d-r0) (62/302) Purging abseil-cpp-dev (20230802.1-r0) (63/302) Purging abseil-cpp-atomic-hook-test-helper (20230802.1-r0) (64/302) Purging abseil-cpp-bad-any-cast-impl (20230802.1-r0) (65/302) Purging abseil-cpp-bad-optional-access (20230802.1-r0) (66/302) Purging abseil-cpp-bad-variant-access (20230802.1-r0) (67/302) Purging abseil-cpp-civil-time (20230802.1-r0) (68/302) Purging abseil-cpp-cordz-sample-token (20230802.1-r0) (69/302) Purging abseil-cpp-crc-cpu-detect (20230802.1-r0) (70/302) Purging abseil-cpp-demangle-internal (20230802.1-r0) (71/302) Purging abseil-cpp-exception-safety-testing (20230802.1-r0) (72/302) Purging abseil-cpp-failure-signal-handler (20230802.1-r0) (73/302) Purging abseil-cpp-flags-parse (20230802.1-r0) (74/302) Purging abseil-cpp-flags-usage-internal (20230802.1-r0) (75/302) Purging abseil-cpp-flags-usage (20230802.1-r0) (76/302) Purging abseil-cpp-flags (20230802.1-r0) (77/302) Purging abseil-cpp-graphcycles-internal (20230802.1-r0) (78/302) Purging abseil-cpp-hash-generator-testing (20230802.1-r0) (79/302) Purging abseil-cpp-hashtablez-sampler (20230802.1-r0) (80/302) Purging abseil-cpp-leak-check (20230802.1-r0) (81/302) Purging abseil-cpp-log-entry (20230802.1-r0) (82/302) Purging abseil-cpp-log-flags (20230802.1-r0) (83/302) Purging abseil-cpp-log-internal-test-actions (20230802.1-r0) (84/302) Purging abseil-cpp-log-internal-test-matchers (20230802.1-r0) (85/302) Purging abseil-cpp-per-thread-sem-test-common (20230802.1-r0) (86/302) Purging abseil-cpp-periodic-sampler (20230802.1-r0) (87/302) Purging abseil-cpp-pow10-helper (20230802.1-r0) (88/302) Purging abseil-cpp-random-distributions (20230802.1-r0) (89/302) Purging abseil-cpp-random-internal-distribution-test-util (20230802.1-r0) (90/302) Purging abseil-cpp-random-internal-randen-hwaes-impl (20230802.1-r0) (91/302) Purging abseil-cpp-random-internal-randen-hwaes (20230802.1-r0) (92/302) Purging abseil-cpp-random-seed-sequences (20230802.1-r0) (93/302) Purging abseil-cpp-scoped-mock-log (20230802.1-r0) (94/302) Purging abseil-cpp-scoped-set-env (20230802.1-r0) (95/302) Purging abseil-cpp-spinlock-test-common (20230802.1-r0) (96/302) Purging abseil-cpp-stack-consumption (20230802.1-r0) (97/302) Purging abseil-cpp-string-view (20230802.1-r0) (98/302) Purging abseil-cpp-test-instance-tracker (20230802.1-r0) (99/302) Purging abseil-cpp-time-internal-test-util (20230802.1-r0) (100/302) Purging abseil-cpp-flags-internal (20230802.1-r0) (101/302) Purging abseil-cpp-flags-marshalling (20230802.1-r0) (102/302) Purging abseil-cpp-flags-reflection (20230802.1-r0) (103/302) Purging abseil-cpp-log-internal-test-helpers (20230802.1-r0) (104/302) Purging abseil-cpp-log-severity (20230802.1-r0) (105/302) Purging abseil-cpp-random-internal-pool-urbg (20230802.1-r0) (106/302) Purging abseil-cpp-random-internal-randen (20230802.1-r0) (107/302) Purging abseil-cpp-random-internal-randen-slow (20230802.1-r0) (108/302) Purging abseil-cpp-random-internal-seed-material (20230802.1-r0) (109/302) Purging abseil-cpp-random-seed-gen-exception (20230802.1-r0) (110/302) Purging boost1.82-chrono (1.82.0-r3) (111/302) Purging boost1.82-context (1.82.0-r3) (112/302) Purging boost1.82-log (1.82.0-r3) (113/302) Purging boost1.82-serialization (1.82.0-r3) (114/302) Purging boost1.82-thread (1.82.0-r3) (115/302) Purging clang17 (17.0.6-r0) (116/302) Purging llvm17-linker-tools (17.0.6-r0) (117/302) Purging clang17-headers (17.0.6-r0) (118/302) Purging clang17-libs (17.0.6-r0) (119/302) Purging fribidi-dev (1.0.13-r0) (120/302) Purging fribidi (1.0.13-r0) (121/302) Purging git-perl (2.43.0-r0) (122/302) Purging perl-git (2.43.0-r0) (123/302) Purging perl-error (0.17029-r2) (124/302) Purging perl (5.38.2-r0) (125/302) Purging gmock (1.14.0-r1) (126/302) Purging gtest (1.14.0-r1) (127/302) Purging harfbuzz-dev (8.3.0-r0) (128/302) Purging harfbuzz-cairo (8.3.0-r0) (129/302) Purging harfbuzz-gobject (8.3.0-r0) (130/302) Purging harfbuzz-icu (8.3.0-r0) (131/302) Purging harfbuzz-subset (8.3.0-r0) (132/302) Purging harfbuzz (8.3.0-r0) (133/302) Purging icu-dev (74.2-r0) (134/302) Purging libavif-dev (1.0.3-r0) (135/302) Purging libavif (1.0.3-r0) (136/302) Purging libdav1d (1.3.0-r0) (137/302) Purging libice-dev (1.1.1-r5) (138/302) Purging libprotobuf (24.4-r1) (139/302) Purging libxft-dev (2.3.8-r2) (140/302) Purging libxft (2.3.8-r2) (141/302) Purging libxpm-dev (3.5.17-r0) (142/302) Purging libxpm (3.5.17-r0) (143/302) Purging libxt (1.3.0-r4) (144/302) Purging lld-libs (17.0.6-r0) (145/302) Purging llvm17-libs (17.0.6-r0) (146/302) Purging python3-pyc (3.11.6-r1) (147/302) Purging python3-pycache-pyc0 (3.11.6-r1) (148/302) Purging xcb-proto-pyc (1.16.0-r0) (149/302) Purging pyc (3.11.6-r1) (150/302) Purging scudo-malloc (17.0.6-r0) (151/302) Purging tiff-dev (4.6.0-r0) (152/302) Purging libtiffxx (4.6.0-r0) (153/302) Purging tiff (4.6.0-r0) (154/302) Purging zstd-dev (1.5.5-r8) (155/302) Purging zstd (1.5.5-r8) (156/302) Purging abseil-cpp-die-if-null (20230802.1-r0) (157/302) Purging abseil-cpp-log-internal-message (20230802.1-r0) (158/302) Purging abseil-cpp-statusor (20230802.1-r0) (159/302) Purging abseil-cpp-status (20230802.1-r0) (160/302) Purging abseil-cpp-cord (20230802.1-r0) (161/302) Purging abseil-cpp-cordz-info (20230802.1-r0) (162/302) Purging abseil-cpp-cordz-handle (20230802.1-r0) (163/302) Purging abseil-cpp-flags-config (20230802.1-r0) (164/302) Purging abseil-cpp-flags-program-name (20230802.1-r0) (165/302) Purging abseil-cpp-log-internal-log-sink-set (20230802.1-r0) (166/302) Purging abseil-cpp-synchronization (20230802.1-r0) (167/302) Purging abseil-cpp-malloc-internal (20230802.1-r0) (168/302) Purging abseil-cpp-log-internal-conditions (20230802.1-r0) (169/302) Purging abseil-cpp-base (20230802.1-r0) (170/302) Purging abseil-cpp-log-globals (20230802.1-r0) (171/302) Purging abseil-cpp-raw-hash-set (20230802.1-r0) (172/302) Purging abseil-cpp-hash (20230802.1-r0) (173/302) Purging abseil-cpp-city (20230802.1-r0) (174/302) Purging abseil-cpp-cord-internal (20230802.1-r0) (175/302) Purging abseil-cpp-cordz-functions (20230802.1-r0) (176/302) Purging abseil-cpp-crc-cord-state (20230802.1-r0) (177/302) Purging abseil-cpp-crc32c (20230802.1-r0) (178/302) Purging abseil-cpp-crc-internal (20230802.1-r0) (179/302) Purging abseil-cpp-examine-stack (20230802.1-r0) (180/302) Purging abseil-cpp-stacktrace (20230802.1-r0) (181/302) Purging abseil-cpp-debugging-internal (20230802.1-r0) (182/302) Purging abseil-cpp-exponential-biased (20230802.1-r0) (183/302) Purging abseil-cpp-flags-commandlineflag (20230802.1-r0) (184/302) Purging abseil-cpp-flags-commandlineflag-internal (20230802.1-r0) (185/302) Purging abseil-cpp-flags-private-handle-accessor (20230802.1-r0) (186/302) Purging abseil-cpp-log-internal-format (20230802.1-r0) (187/302) Purging abseil-cpp-kernel-timeout-internal (20230802.1-r0) (188/302) Purging abseil-cpp-time (20230802.1-r0) (189/302) Purging abseil-cpp-log-internal-check-op (20230802.1-r0) (190/302) Purging abseil-cpp-str-format-internal (20230802.1-r0) (191/302) Purging abseil-cpp-strings (20230802.1-r0) (192/302) Purging abseil-cpp-int128 (20230802.1-r0) (193/302) Purging abseil-cpp-log-initialize (20230802.1-r0) (194/302) Purging abseil-cpp-log-internal-globals (20230802.1-r0) (195/302) Purging abseil-cpp-log-internal-nullguard (20230802.1-r0) (196/302) Purging abseil-cpp-log-internal-proto (20230802.1-r0) (197/302) Purging abseil-cpp-log-sink (20230802.1-r0) (198/302) Purging abseil-cpp-low-level-hash (20230802.1-r0) (199/302) Purging abseil-cpp-random-internal-platform (20230802.1-r0) (200/302) Purging abseil-cpp-strings-internal (20230802.1-r0) (201/302) Purging abseil-cpp-raw-logging-internal (20230802.1-r0) (202/302) Purging abseil-cpp-spinlock-wait (20230802.1-r0) (203/302) Purging abseil-cpp-strerror (20230802.1-r0) (204/302) Purging abseil-cpp-symbolize (20230802.1-r0) (205/302) Purging abseil-cpp-throw-delegate (20230802.1-r0) (206/302) Purging abseil-cpp-time-zone (20230802.1-r0) (207/302) Purging aom-libs (3.7.1-r0) (208/302) Purging boost1.82-filesystem (1.82.0-r3) (209/302) Purging graphite2-dev (1.3.14-r6) (210/302) Purging graphite2 (1.3.14-r6) (211/302) Purging cairo-dev (1.18.0-r0) (212/302) Purging cairo-tools (1.18.0-r0) (213/302) Purging xcb-util-dev (0.4.1-r3) (214/302) Purging util-macros (1.20.0-r0) (215/302) Purging xcb-util (0.4.1-r3) (216/302) Purging cairo-gobject (1.18.0-r0) (217/302) Purging cairo (1.18.0-r0) (218/302) Purging fontconfig-dev (2.15.0-r0) (219/302) Purging fontconfig (2.15.0-r0) (220/302) Purging freetype-dev (2.13.2-r0) (221/302) Purging freetype (2.13.2-r0) (222/302) Purging brotli-dev (1.1.0-r1) (223/302) Purging brotli (1.1.0-r1) (224/302) Purging glib-dev (2.78.3-r0) (225/302) Purging bzip2-dev (1.0.8-r6) (226/302) Purging docbook-xsl (1.79.2-r8) Executing docbook-xsl-1.79.2-r8.post-deinstall (227/302) Purging docbook-xml (4.5-r8) Executing docbook-xml-4.5-r8.post-deinstall (228/302) Purging gettext-dev (0.22.4-r0) (229/302) Purging xz (5.4.5-r0) (230/302) Purging gettext-asprintf (0.22.4-r0) (231/302) Purging gettext (0.22.4-r0) (232/302) Purging gettext-envsubst (0.22.4-r0) (233/302) Purging libxml2-utils (2.12.4-r0) (234/302) Purging libxslt (1.1.39-r0) (235/302) Purging pcre2-dev (10.42-r2) (236/302) Purging libpcre2-16 (10.42-r2) (237/302) Purging libpcre2-32 (10.42-r2) (238/302) Purging libedit-dev (20230828.3.1-r4) (239/302) Purging ncurses-dev (6.4_p20231125-r0) (240/302) Purging libncurses++ (6.4_p20231125-r0) (241/302) Purging bsd-compat-headers (0.7.2-r5) (242/302) Purging expat-dev (2.5.0-r2) (243/302) Purging expat (2.5.0-r2) (244/302) Purging libxrender-dev (0.9.11-r4) (245/302) Purging libxrender (0.9.11-r4) (246/302) Purging libxext-dev (1.3.5-r3) (247/302) Purging libxext (1.3.5-r3) (248/302) Purging libx11-dev (1.8.7-r0) (249/302) Purging xtrans (1.5.0-r0) (250/302) Purging libx11 (1.8.7-r0) (251/302) Purging libxcb-dev (1.16-r0) (252/302) Purging libxcb (1.16-r0) (253/302) Purging xcb-proto (1.16.0-r0) (254/302) Purging python3 (3.11.6-r1) (255/302) Purging gdbm (1.23-r1) (256/302) Purging gettext-libs (0.22.4-r0) (257/302) Purging glib (2.78.3-r0) (258/302) Purging icu (74.2-r0) (259/302) Purging icu-libs (74.2-r0) (260/302) Purging icu-data-en (74.2-r0) (261/302) Purging util-linux-dev (2.39.3-r0) (262/302) Purging libfdisk (2.39.3-r0) (263/302) Purging libmount (2.39.3-r0) (264/302) Purging libsmartcols (2.39.3-r0) (265/302) Purging libblkid (2.39.3-r0) (266/302) Purging libxdmcp-dev (1.1.4-r3) (267/302) Purging libxdmcp (1.1.4-r3) (268/302) Purging libbsd (0.11.8-r0) (269/302) Purging libffi-dev (3.4.4-r3) (270/302) Purging linux-headers (6.6-r0) (271/302) Purging libffi (3.4.4-r3) (272/302) Purging libformw (6.4_p20231125-r0) (273/302) Purging libgcrypt (1.10.3-r0) (274/302) Purging libgpg-error (1.47-r2) (275/302) Purging libsm (1.2.4-r3) (276/302) Purging libice (1.1.1-r5) (277/302) Purging libintl (0.22.4-r0) (278/302) Purging libjpeg-turbo-dev (3.0.1-r0) (279/302) Purging libturbojpeg (3.0.1-r0) (280/302) Purging libjpeg-turbo (3.0.1-r0) (281/302) Purging libmd (1.1.0-r0) (282/302) Purging libmenuw (6.4_p20231125-r0) (283/302) Purging libpanelw (6.4_p20231125-r0) (284/302) Purging libpng-dev (1.6.40-r0) (285/302) Purging libpng (1.6.40-r0) (286/302) Purging libwebp-dev (1.3.2-r0) (287/302) Purging libwebpdecoder (1.3.2-r0) (288/302) Purging libwebpdemux (1.3.2-r0) (289/302) Purging libwebpmux (1.3.2-r0) (290/302) Purging libwebp (1.3.2-r0) (291/302) Purging libsharpyuv (1.3.2-r0) (292/302) Purging libuuid (2.39.3-r0) (293/302) Purging libxau-dev (1.0.11-r3) (294/302) Purging libxau (1.0.11-r3) (295/302) Purging libxml2 (2.12.4-r0) (296/302) Purging mpdecimal (2.5.1-r2) (297/302) Purging pixman-dev (0.43.0-r0) (298/302) Purging pixman (0.43.0-r0) (299/302) Purging readline (8.2.10-r0) (300/302) Purging sqlite-libs (3.45.0-r0) (301/302) Purging xorgproto (2023.2-r0) (302/302) Purging zlib-dev (1.3-r2) Executing busybox-1.36.1-r19.trigger OK: 380 MiB in 113 packages >>> yosys: Updating the testing/x86 repository index... >>> yosys: Signing the index...